库存清单18
来源:    发布时间: 2019-11-04 12:14   10159 次浏览   大小:  16px  14px  12px

 

P/N Description Qty
A-13850 Motorola 0708601 SBC Single Board Computer PCB Delta Design Summit ATC Used 1
A-13851 TEL Tokyo Electron 1B80-002394-11 PMC Add On Board (80/80) PCB Used Working 1
A-13852 Nikon 4S018-867 Interface Board PCB PPD3X4-I/F NSR System Used Working 1
A-13852 Nikon 4S018-867 Interface Board PCB PPD3X4-I/F NSR System Used Working 2
A-13853 Asyst Technologies 4002-5679-01 Linear Actuator Assembly Faulhaber 22B Used 1
A-13854 Asyst Technologies 4002-5679-03 Linear Actuator Assembly 9701-0135-03 Used 1
A-13855 Asyst Technologies 4002-5964-01 Linear Actuator Assembly Rev. A Used Working 1
A-13856 Aviza Technology 600058-01 Communication PCB Card Used Working 1
A-13857 FastPoint PD-70FA16TSRU 15" Touchscreen Monitor PD-70FA Used Working 1
A-13858 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D Used Working 1
A-13858 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D Used Working 1
A-13859 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. F 5006-2101-0102 Used 1
A-13860 Asyst Technologies 3200-1065-04 Control Board PCB Rev. T Used Working 2
A-13861 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-10 H Used 1
A-13862 Asyst Technologies 3200-1065-04 Control Board PCB Rev. M Used Working 1
A-13862 Asyst Technologies 3200-1065-04 Control Board PCB Rev. M Used Working 3
A-13863 Asyst Technologies 3200-1065-04 Control Board PCB Rev. P Used Working 1
A-13863 Asyst Technologies 3200-1065-04 Control Board PCB Rev. P Used Working 1
A-13864 Asyst Technologies 3200-1071-01 Processor Board PCB Rev. A MICRO-G2 Used Working 1
A-13864 Asyst Technologies 3200-1071-01 Processor Board PCB Rev. A MICRO-G2 Used Working 1
A-13865 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. F 5006-2101-0102 Used 1
A-13866 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-11 Used 1
A-13866 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-11 Used 1
A-13867 Asyst Technologies 3200-1065-01 Control Board PCB Rev. H Used Working 1
A-13868 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D Nikon KAB11310/201M-2 1
A-13869 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6108-1001-10 G Used 1
A-13870 Asyst Technologies 3200-1065-01 Control Board PCB 9700-5327-01 Used Working 1
A-13871 Asyst Technologies 3200-1065-01 Control Board PCB Rev. P 9700-5327-01 Used 1
A-13872 Asyst Technologies 3201-1100-03 Interface Board PCB Used Working 2
A-13872 Asyst Technologies 3201-1100-03 Interface Board PCB Used Working 1
A-13873 National FY-30CFTA1 HEPA Fan Blower Nikon NSR System Used Working 1
A-13875 Nikon Optical Assembly Maxon 252145 4S602-410 NSR System Used Working 1
A-13877 TEL Tokyo Electron 837 PRA Process Block Robotics Arm ACT12 200mm System As-Is 1
A-13878 Brooks Automation TLG-I2-FL6M-01 Transponder Reader with Antenna FIXLOAD V6 Used 1
A-13878 Brooks Automation TLG-I2-FL6M-01 Transponder Reader with Antenna FIXLOAD V6 Used 2
A-13879 Brooks Automation TLG-I1-1000-S0-00-EB Transponder Reader w/Antenna FIXLOAD V6 1
A-13880 ASM Advanced Semiconductor Materials 2506823-01 Interface Board PCB Used Working 1
A-13880 ASM Advanced Semiconductor Materials 2506823-01 Interface Board PCB Used Working 1
A-13881 ASM Advanced Semiconductor Materials 2506696-21 Backplane Board PCB Used Working 1
A-13882 Oriental Motor UDK5214NW 5-Phase Driver and Motor Set PK566BW-N10 Used Working 1
A-13883 Motorola 01-W3269F SBC Single Board Computer PCB Rev. 21C Used Working 1
A-13884 Rudolph Technologies A16907 Sensor Board PCB A16223 Used Working 1
A-13885 ASM Advanced Semiconductor Materials 2519232-21C Interface Board PCB Used 1
A-13886 ASM Advanced Semiconductor Materials 2506602-21 Interface Board PCB Used Working 2
A-13886 ASM Advanced Semiconductor Materials 2506602-21 Interface Board PCB Used Working 1
A-13887 ASM Advanced Semiconductor Materials 2856735-21 Processor PCB Card 115ASM Used 8
A-13888 ASM Advanced Semiconductor Materials 2850125-21 Processor PCB Card Used Working 12
A-13889 Clippard 30-Port Valve Manifold EV-3M-24 Used Working 1
A-13890 ASM Advanced Semiconductor Materials 2850176-21 Backplane Board PCB Used Working 1
A-13890 ASM Advanced Semiconductor Materials 2850176-21 Backplane Board PCB Used Working 1
A-13890 ASM Advanced Semiconductor Materials 2850176-21 Backplane Board PCB Used Working 2
A-13891 ASM Advanced Semiconductor Materials 2506459-2 Backplane Board PCB Used Working 1
A-13892 ASM Advanced Semiconductor Materials 2506610-21 Interface Board PCB Used Working 1
A-13892 ASM Advanced Semiconductor Materials 2506610-21 Interface Board PCB Used Working 5
A-13893 ASM Advanced Semiconductor Materials 2506416-21 Power Supply PCB Card Used 1
A-13894 ASM Advanced Semiconductor Materials 2548860-21 Processor PCB Card Used Working 4
A-13895 ASM Advanced Semiconductor Materials 2506629-21 Processor PCB Card Rev. 4-C Used 1
A-13896 ASM Advanced Semiconductor Materials 2506629-01 Processor PCB Card Rev. A Used 1
A-13897 Shinko SBX93-100133-11 Interface Board PCB SBX08-000053-11 SRCN1 Used Working 3
A-13897 Shinko SBX93-100133-11 Interface Board PCB SBX08-000053-11 SRCN1 Used Working 1
A-13898 TDK TAS-MAIN Processor Board PCB Rev. 5.30 TAS-CPU Used Working 1
A-13899 Yaskawa Electric UTOPI-020SE Minteria Motor QM Series Nikon NSR System Used 4
A-13900 Nikon Pneumatic Manual Regulator Box NSR System Used Working 1
A-13901 ASM Advanced Semiconductor Materials 2506564-21 Processor Board PCB Rev. A4 Used 1
A-13902 ASM Advanced Semiconductor Materials 2334801-21 Processor PCB Card Rev. B Used 1
A-13903 ASM Advanced Semiconductor Materials 2334801-21 Processor PCB Card Rev. A Used 2
A-13904 ASM Advanced Semiconductor Materials 1001-524-21 Processor PCB Card Rev. B Used 1
A-13905 ASM Advanced Semiconductor Materials 1001-524-21 Processor PCB Card Rev. A Used 1
A-13906 ASM 2506416-21 Power Supply PCB Card Rev. A1 Used Working 1
A-13907 ASM Advanced Semiconductor Materials 2890224-21 Processor PCB Card Rev. A Used 3
A-13908 ASM Advanced Semiconductor Materials 2506661-21 Processor PCB Card Rev. B1 Used 2
A-13909 ASM Advanced Semiconductor Materials 2506572-21 Processor PCB Card Rev. A Used 1
A-13910 ASM Advanced Semiconductor Materials 2506513-21 Processor PCB Card Rev. A1 Used 3
A-13911 ASM Advanced Semiconductor Materials 2616351-21 Processor PCB Card Rev. B Used 1
A-13912 Nikon 4S013-379 Interface Board PCB STGX44 NSR System Used Working 1
A-13913 Nikon 4S013-394 Interface Board PCB STGX45 NSR System Used Working 1
A-13914 ASM Advanced Semiconductor Materials 2882639-21 Backplane Board PCB Rev. A Used 1
A-13915 ASM Advanced Semiconductor Materials 2616351-21 Processor PCB Card Rev. A Used 1
A-13916 ASM Advanced Semiconductor Materials 2548860-21 Processor PCB Card Rev. A Used 2
A-13917 ASM Advanced Semiconductor Materials 2506556-21 Processor PCB Card Used Working 4
A-13918 ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card Used Working 5
A-13918 ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card Used Working 2
A-13918 ASM Advanced Semiconductor Materials 2506475-21 Processor PCB Card Used Working 1
A-13919 ASM Advanced Semiconductor Materials 2506459-21 Backplane Board PCB Rev. A Used 1
A-13920 ASM Advanced Semiconductor Materials 2976285-21 Processor Board PCB Used Working 4
A-13921 Omron E2CA-AL4D Proximity Sensor Amplifier Assembly Used Working 1
A-13922 Omron E2CA-AL4D-3 Proximity Sensor Amplifier Assembly Used 1
A-13923 Cherokee International QT4A1 Power Supply Used Working 1
A-13924 Setra 204100-50-NK Pressure Transducer 204 Used Working 1
A-13925 DNS Dainippon Screen SL-2220-C-Z Power Control Module Used Working 1
A-13925 DNS Dainippon Screen SL-2220-C-Z Power Control Module Used Working 1
A-13925 DNS Dainippon Screen SL-2220-C-Z Power Control Module Used Working 9
A-13926 Kokusai Electric D1E01223B Processor PCB Card SCOM2A Zestone V DD-1203V Used 1
A-13926 Kokusai Electric D1E01223B Processor PCB Card SCOM2A Zestone V DD-1203V Used 1
A-13927 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used 2
A-13927 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used 1
A-13927 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used 2
A-13927 Omron Z4LC-C28 Parallel Beam Line Sensor Set With Controller Z4LC-S28 Used 2
A-13928 SMC 6-Port Pneumatic Manifold SZ3260-5LOZD-C4 SZ3360-5LOZD-C4 Lot of 2 Used 2
A-13929 Krone KS-2500 Differential Pressure Manometer 1000Pa 4-20mA Lot of 11 Used 1
A-13930 Nikon 4S017-184-1-Ⓐ Interface Board PCB WLICN NSR-1755G7A Step-and-Repeat Used 1
A-13931 Nikon 4S020-002-Ⓒ Interface Board PCB STG62 NSR-1755G7A Step-and-Repeat Used 1
A-13932 Nikon 4S020-001 Interface Board PCB STG61 NSR-1755G7A Step-and-Repeat Used 1
A-13933 Nikon NVC6B-1V5YAN Inspection Camera NSR-1755G7A Step-and-Repeat Used Working 1
A-13934 Setra 207 Pressure Transducer Nikon NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13935 Nikon Photoelectric Sensor NSR-1755G7A Step-and-Repeat G-Line System Used 1
A-13936 Nikon 2nd Relay Rear Optic Lens NSR-1755G7A Step-and-Repeat G-Line System Used 1
A-13937 Nikon 2nd Relay Front Optic Lens NSR-1755G7A Step-and-Repeat G-Line System Used 1
A-13938 Nikon ARB Blinds Unit MU-501C 4S554-001 Faulhaber 2251R012S NSR-1755G7A Used 1
A-13939 Nikon 2FE 2nd Fly's Eye Lens NSR-1755G7A Step-and-Repeat G-Line System Used 1
A-1394 Trebor 2472-AD Heater untested as-is 1
A-13940 Nikon R1847-07 Photomultiplier Electro-optic Tube NSR-1755G7A Used Working 2
A-13941 Faulhaber 2251R012S Minimotor SA Nikon NSR-1755G7A Step-and-Repeat G-Line Used 2
A-13942 MFM Technology TW34X-0.50-3502 Stepping Motor SVG 99-49456-01 Used Working 1
A-13943 Nikon KBA 00650 ALCP Temperature Controller with Probe NSR-1755G7A Used Working 1
A-13944 Nikon 4S013-007 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13945 Nikon 4S013-008 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13946 Nikon 4S013-059-B Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13947 Nikon 4S013-010 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13948 Nikon 4S013-002 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13949 Nikon 4S013-001 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13949 Nikon 4S013-001 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 3
A-13950 Opal 70317875300 SMC/M Vacuum PCB Card AMAT SEMVision cX Defect Review Used 1
A-13951 Motorola 01-W3527F SBC Single Board Computer PCB Rev. 21C Delta Design Summit 1
A-13952 Motorola 01-W3527F SBC Single Board Computer PCB Rev. 21D Delta Design Summit 1
A-13953 Nikon 4S005-088-Ⓐ Sensor Board PCB WLEXPS NSR-1755G7A Step-and-Repeat Used 1
A-13954 Nikon NVC6B-2V5TBN VRA Search CCD Camera NSR-1755G7A Step-and-Repeat G-Line Used 2
A-13955 Yaskawa Electric UGTMEM-01SNQ11 Mintertia Motor Nikon NSR-1755G7A Used Working 5
A-13956 Lenze E94S040S1N Servo Drive 94 SimpleServo 1.20 Used Working 2
A-13957 Lenze E94S040S1N Servo Drive 94 SimpleServo 1.21 Used Working 2
A-13958 Nikon 4S013-004 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13959 Nikon 4S013-005 Interface Board PCB NSR-1755G7A Step-and-Repeat G-Line Used 1
A-13960 TDK FAW12-1R3 Power Supply Lot of 3 Nikon NSR System Used Working 1
A-13961 ASM Advanced Semiconductor Materials 2506696-21 Backplane Board PCB Rev. B2 Used 1
A-13962 ASM Advanced Semiconductor Materials 2519232-21 Interface Board PCB Used Working 1
A-13963 Omron GT1-AD08MX Analog Unit Lot of 2 Used Working 1
A-13964 Sierra Safety Technology DCR1-S1 Optical Flame Detector Rev. 1.3 Lot of 2 Used 1
A-13965 Sierra Safety Technology DCR1-S1 Optical Flame Detector Lot of 2 Used Working 1
A-13966 Jenoptik 013501-083-17B Interface Board PCB INFAB Used Working 3
A-13967 Jenoptik 013501-083-17B Interface Board PCB INFAB Brooks Automation Used 1
A-13968 Colman CYHC-62976-35-1 Motor SVG Silicon Valley Group 99-22401-01 Used Working 1
A-13969 Faulhaber 1616E012S Minimotor SA Nikon NSR-1755G7A Step-and-Repeat Used Working 1
A-13970 SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Used Working 1
A-13971 Nikon Fiber Optic Cable 16ft NSR-1755G7A Step-and-Repeat G-Line System Used 1
A-13972 Nikon 6" Arm NSR-1755G7A Step-and-Repeat G-Line System Used Working 1
A-13973 Nikon Arm 6" NSR-1755G7A Step-and-Repeat G-Line System Used Working 1
A-13974 RKC Instruments F700FD04-8*CN-NNN-4A Temperature Controller Lot of 2 Used 1
A-13974 RKC Instruments F700FD04-8*CN-NNN-4A Temperature Controller Lot of 2 Used 1
A-13975 RKC Instruments F700FD12-V*CN-NNN-4A Temperature Controller Lot of 2 Used 2
A-13976 Nikon 13-176 Interface Board PCB WGA2IV NSR-1755G7A Step-and-Repeat G-Line Used 2
A-13977 Yaskawa Electric TG-7SVE Minertia Motor UGRMEM-02SNQ33 Nikon NSR-1755G7A Used 1
A-13978 Yaskawa Electric UGTMEM-03SB2 Minertia Motor Mini Series Nikon NSR-175G7A Used 1
A-13979 Faulhaber 2251R012S Minimotor SA S8FLP10A Nikon NSR-1755G7A Used Working 1
A-13980 Kantum Electronics LP-2000SM Laser Power Supply Nikon NSR-1755G7A  Used Working 2
A-13982 Nikon Internal Elevator NSR-1755G7A Step-and-Repeat G-Line System Used Working 1
A-13983 DNS Dainippon Screen DS-1211-ANALOG(B) I/O PCB Module SN-2016-TRDS Used Working 1
A-13983 DNS Dainippon Screen DS-1211-ANALOG(B) I/O PCB Module SN-2016-TRDS Used Working 3
A-13984 Magnescale BL55-005NEA5T01 Laserscale Amp with Read Head Nikon NSR-S620D Used 1
A-13985 FEI Company 4022.192.71661 Processor PCB Card PVG/8I XL 830 DualBeam Used 1
A-13986 FEI Company 4022.192.71791 Interface Relay PCB Card SCB XL 830 DualBeam Used 1
A-13987 Philips 4022.192.71332 Processor PCB Card EBR FEI Company Used Working 1
A-13988 FEI Company 4022.192.72131 Processor PCB Card HVG/D1 XL 830 DualBeam Used 1
A-13988 FEI Company 4022.192.72131 Processor PCB Card HVG/D1 XL 830 DualBeam Used 1
A-13989 FEI Company 4022.192.70072 Processor PCB Card MOB XL 830 DualBeam FIB-SEM Used 1
A-13990 Philips 4022.192.71241 Processor PCB Card EBD FEI Company XL 830 DualBeam Used 1
A-13991 FEI Company 4022.192.71511 Processor PCB Card QDCR 7151 2 XL 830 FIB-SEM Used 1
A-13992 FEI Company 4022.192.72192 Processor PCB Card MDLN XL 830 DualBeam FIB-SEM Used 1
A-13992 FEI Company 4022.192.72192 Processor PCB Card MDLN XL 830 DualBeam FIB-SEM Used 1
A-13993 FEI Company 4022.192.72181 Processor PCB Card LNSA XL 830 DualBeam FIB-SEM Used 1
A-13994 FEI Company 4022.192.91281 Interface Relay PCB Card MRSF 1 XL 830 FIB-SEM Used 1
A-13995 FEI Company 4022.197.94765 Processor PCB Card SCDR 4022.192.71114 XL 830 Used 1
A-13995 FEI Company 4022.197.94765 Processor PCB Card SCDR 4022.192.71114 XL 830 Used 1
A-13996 FEI Company 4022.192.9204 Processor PCB Card UDTB/N XL 830 FIB-SEM Used Working 3
A-13997 FEI Company 4022.192.70141 Processor PCB Card MDAC XL 830 DualBeam FIB-SEM Used 1
A-13997 FEI Company 4022.192.70141 Processor PCB Card MDAC XL 830 DualBeam FIB-SEM Used 4
A-13998 Philips 4022.192.71781 Processor PCB Card PLCB 2 FEI Company XL 830 FIB-SEM Used 1
A-13999 FEI Company 4022.192.70094 Processor PCB Card HRDS 7009 XL 830 FIB-SEM Used 2
A-14000 FEI Company 4022.192.91343 Processor PCB Card DDCB XL 830 DualBeam FIB-SEM Used 1
A-14001 FEI Company 4022.199.38865 Backplane Board PCB 4022.192.90054 XL 830 Used 1
A-14002 FEI Company 18240 Ion Beam Analog Interface PCB Card IBOD XL 830 FIB-SEM Used 1
A-14003 FEI Company 4022.192.70072 Processor PCB Card MOB 7007 XL 830 FIB-SEM Used 1
A-14004 FEI Company 4022.192.70081 Processor PCB Card MIB XL 830 DualBeam FIB-SEM Used 1
A-14005 FEI Company 4022.192.7213 Processor PCB Card HVG/D XL 830 DualBeam FIB-SEM Used 1
A-14006 Phililps 4022.192.72182 Processor Relay PCB Card LNSA FEI Company XL 830 Used 1
A-1402 ASML Nikon Reticle ROB Remote Control Box 4022.470.0883 1
A-14026 Lam Research 16-418665-00A Heater Pedestal Assembly Novellus Used Working Unlisted 1
A-14027 LAM Research 02-287781-00 "15 Heater Pedestal Assembly Novellus Copper Only Used Unlisted 1
A-14028 TEL Tokyo Electron 39003T-80611 300mm Wafer Platen Used Working Unlisted 1
A-14031 LAM Research 02-2887782-00 Rev. B 15" Heater Pedestal Assembly Used Working 2
A-14032 Novellus 02-402743-00 300mm Wafer Indexer Used 4
A-14033 KLA-Tencore SensArray Wireless High Temp-350 XPI 300mm Used Unlisted 2
A-14034 Trebor International M50D Maxim High Purity Chemical Pump Refurbished Unlisted 1
A-14036 Lam Research 16-383780-02 F Shower Head from CVDPH Used Working Unlisted 3
A-14036 Lam Research 16-383780-02 F Shower Head from CVDPH Used Working Unlisted 1
A-14037 Tropel Lens Set of 5 Remove from KLA-Tencor AIT2 Used Working Unlisted 1
A-14040 TEL Tokyo Electron 2985-441068-W1 Cup Base Assembly Clean Track ACT12 Used 13
A-14043 Rudolph Technologies MetaPulse 300mm Bay CU Parts Used Working Unlisted 1
A-14047 Tropel 11.25x and 14x Anamorphic Telescope Lens Assembly KLA-Tencor Surfscan AIT I Used Unlisted 1
A-14048 Sinfonia Technologies SBX92303986-2 LM-Arm Controller U026151001 CU Exposed Used Unlisted 1
A-14049 Comet 20056053 Rev. 00 RF Match Lam Research 27-433872-00 Used Working Unlisted 2
A-14050 AE Advanced Energy R27-56558-00 RF Match w/ User Manual Used Unlisted 1
A-14051 AE Advanced Energy 27-368450-00 RF Match 3155162-037 C Used Working Unlisted 1
A-14052 Edwards Y07AB40E4 TCS Used Working Unlisted 1
A-14053 AMAT Applied Materials 0040-98491 Rev. 03 Siconic Clean Chiller Assembly 300mm Used Unlisted 1
A-14055 AMAT Applied Materials 0040-91179 Rev. 005 Heater Purge Ring Assembly 300mm Used Unlisted 1
A-14090 Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Summit ATC Used 1
A-14113 STL Stewart Transformers 26kVA Transformer AIT 1360-00103 Untested As-Is 1
A-14114 STL Stewart Transformers 26kVA Transformer AIT 1360-00103 Used Working 1
A-1414 ASML Nikon Loader TUR Remote Control Box 4022.470.0892 1
A-1415 ASML Nikon Loader FRM Remote Control Box 4022.470.0903 1
A-14171 AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev. 002 Used Working 1
A-14175 Pearl Kogyo LP-2000-800KBX RF Power Generator Hitachi M-712E Used Working 2
A-14176 Kyoto Denkiki KDS-30350WFX Dual Output Power Supply Hitachi M-712E Used Working 2
A-14177 Hitachi Wafer Inspection Handling Robot I-900SRT Defect Inspection Tool Used 5
A-14178 Kokusai Electric Heater Tape REAC/B.G. Line Temperature Controller Used Working 1
A-14179 Hitachi PUMP 2 Controller Module M-712E Shallow Trench Etcher Used Working 2
A-1419 Nikon 4S064-050 VCM Amplifier 3-Axis Motor SPA1A Used Working 1
A-14200 AMAT Applied Materials 9010-01378ITL Celerity BF3 MFC Gas Panel Assembly Used 1
A-14227 Comet 20062704 Rev.00 Source Matching Network 0190-41240 Used Working Unlisted 1
A-14228 TDI Power 136957-R9590 Analyzer Corrector Magnet Power Supply SPS5610-R9590 Used Working Unlisted 1
A-14229 Lam Research 02-335815-00 Rev. A 15" Heater Assembly Pedestal 101292-2611-007 CU Used Working Unlisted 1
A-14231 Lam Research 16-377679-00 Rev. B 15" Heater Pedastal Assembly 118691-L20-023 B654 Used Unlisted 1
A-14233 Lam Research 02-287782-00 Rev. B 15" Heater Pedestal Assembly 118691-3610-016 B653 Used Unlisted 1
A-14235 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Used Unlisted 1
A-14236 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Copper Exposed Used Unlisted 1
A-14236 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Copper Exposed Used Unlisted 1
A-14236 TEL Tokyo Electron 3D10-100157-V5 BEL BTM Plate Base Assembly Copper Exposed Used Unlisted 1
A-14246 Daihen SMA-20B Microwave Waveguide Magnetron Assembly Hitachi M-511E Etcher Used 1
A-1427 AMAT Applied Materials 0100-01875 AC Distribution Board Used Working 1
A-14302 Ludl Electronic Products Motorized Microscope Stage X-Y Used Working 1
A-14318 Hitachi Kokusai Denki 3CD02233 Adjustment Board PCB P_MASTER Mikro Sonic Used 1
A-14359 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used 4
A-14359 Brooks Automation 812100065 Communication Board PCB 013501-165-I1 AEZ02 Used 3
A-14360 Brooks Automation 812100090 Communication Board PCB 013501-185-I1 AEZ01 Used 3
A-14401 AMAT Applied Materials 0041-32575 300mm Titan Dampened Carrier CU Refurbished Unlisted 1
A-14403 TEL Tokyo Electron 3D05-350116-V1 Insulator Ring 417 New Unlisted 1
A-14404 Pentagon Technologies 500236841 Cleaned Housing Bottom CL-RE3Z10-20012-V1 New Unlisted 1
A-14405 Chamber Performance Services 16-179557D99 Rev. Q Plenium Gas Shim Refurbished Unlisted 13
A-14406 AMAT Applied Materials 0040-23891 Heater Assembly KSM-5213-2396 Used Working Unlisted 1
A-14407 Lam Research 716-175990S001 12" Quartz Bell Jar Used Working Unlisted 1
A-14411 TEL Tokyo Electron 44018NP-809V BTM Pre Post Clean Electrode Used Working Unlisted 1
A-14412 AMAT Applied Materials 0021-43576 Shield, Outer TXZ, CVD Highlander New Unlisted 2
A-14413 TEL Tokyo Electron ES3D10-351468-V1 Plater Chamber BTM3 FC3 Refurbished Unlisted 1
A-14414 TEL Tokyo Electron ES3D10-150806-V CL Electrode Bottom CU Used Working Unlisted 1
A-14415 TEL Tokyo Electron ES3D10-250759-V1 Shield Depo Inner 170 WL FC3 New Unlisted 2
A-14416 AMAT Applied Materials 0040-91170 Heater Purge Assembly 300mm TXZ Used Working Unlisted 1
A-14417 AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working Unlisted 1
A-14418 AMAT Applied Materials 0010-23802 Rev. 003 DC Power Supply 101143-04 Used Working Unlisted 1
A-14419 Asyst Technologies 9700-6444-02 Indexer NRI PIP 1150R Refurbished Unlisted 1
A-1473 Thermo Neslab HX75 Chiller BOM 386105021704 Not Working 1
A-1474 ASML Nikon RH Handling Box SHB-RH 4022.470.6295 1
A-1475 TEL ACT 8,12 Lambda DC Power Supply Module JWS100-24A working 24V 4.5A 1
A-15002 Hitachi KP-D50U CCD Camera & Colorado Video 620 X-Y Indicator Used Working 1
A-1502 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 1
A-1502 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 2
A-1502 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 1
A-1502 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 1
A-1502 Nikon Interferometer Board ALGLIUIF2 Working 4S018-167 Nikon NSR-S204B 2
A-15183 Edwards Y04601486 Combustor TPU Thermal Processing Unit Quench/Weir TS505 Spare 1
A-15191 Alloy Products 885574 Pressure Vessel HMDS Canister Tank ASML SVG 90S Trackmate 2
A-15192 Alloy Products 13-0148-00 Pressure Vessel CANISTER #3 Solvent Tank ASML SVG 90S 1
A-15193 Alloy Products 99-42795-01 Pressure Vessel CANISTER #2 Develop Tank ASML SVG 90S 5
A-15194 Alloy Products 99-40350-01 HMDS CANISTER Pressure Vessel Tank SVG 90S Trackmate 10
A-15195 Alloy Products 1A1/X1.8/1390/01 SOLVENT Pressure Vessel Tank SVG 90S Trackmate 3
A-15196 Alloy Products 1A1/X1.8/1600/01 SOLVENT Pressure Vessel Tank SVG 90S Trackmate 1
A-15197 Alloy Products 99-41124-01 Pressure Vessel SOLVENT CANISTER #4 SVG 90S Trackmate 1
A-15198 Alloy Products 99-41064-01 Pressure Vessel SOLVENT CANISTER #5 SVG 90S Trackmate 1
A-15201 FEI Company 4022.199.66793 Processor PCB Card DLCB/SN XL 830 FIB-SEM Used 2
A-15202 FEI Company 4022.192.57903 Backplane Board PCB XL 830 DualBeam FIB-SEM Used 1
A-15203 FEI Company 4022.199.52631 Power Supply PCB Card LHT/N XL 830 FIB-SEM Used 1
A-15204 FEI Company 18634-D Power Supply PCB Card BIAS,FPS XL 830 DualBeam FIB-SEM Used 1
A-15205 FEI Company 19174-E Power Supply PCB Card GAIN, FPS, +3KV XL 830 FIB-SEM Used 1
A-15206 FEI Company 4022.199.45262 Power Supply PCB Card LHN/2 XL 830 FIB-SEM Used 1
A-15207 VAT 26324-KA41-0001 HV Angle Valve V10 AMAT Applied Materials SEMVision cX Used 1
A-15208 FEI Company 4022.192.91323 Backplane Board PCB 4022.199.62131 XL 830 Used 1
A-15209 FEI Company 4022.192.9119 Backplane Board PCB 4022.199.70352 XL 830 FIB-SEM Used 1
A-15210 Densei-Lambda JWS150-5 Open Frame Power Supply JWT100-522 Lot of 2 Used Working 1
A-15211 KEL VPDEST2250 VME Bus J2 3 Slot Backplane Board PCB DNS FC-3000 Used Working 1
A-15212 KEL VPDEST1810 VME Bus J1 9 Slot Backplane Board PCB DNS FC-3000 Used Working 1
A-15213 DNS Dainippon Screen PC-99033D-0123 Processor PCB Card FC-3000 Wet Station Used 4
A-15214 Sanritz Automation SVP501-3-S Processor PCB Card 02EP3 DNS FC-3000 Used Working 2
A-15215 SunX FT-WA8 Sensor Set of 2 Nikon NSR-S307E DUV Scanning System Used Working 1
A-15216 SMC MQQTB28-31S-X93 Pneumatic Cylinder Metal Seal MQQTB28-13S-X93 Lot of 4 Used 1
A-15217 SOC Showa Optronics GLG5414 HeNe Laser Head Nikon NSR-S204B Step-and-Repeat Used 5
A-15219 Mycom PG104L-04 Processor PCB Card MY5211-047A DNS FC-3000 Used Working 2
A-15220 Glassman High Voltage PS/ER06N25.0YZ4 Power Supply AMAT 9090-00473 Used Working 1
A-15221 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 5006-2101-0101 Used 1
A-15222 Asyst Technologies 3200-1015-01 Processor Board PCB Rev. D 6018-1001-10 G Used 1
A-15223 TDK TAS-LED Indicator Light Board PCB Rev. 5.11 300mm TAS300 Load Port Used 1
A-15224 TDK TAS-IN6 Backplane Interface Board PCB Rev. 1.10 TAS300 Load Port Used 1
A-15225 TDK TAS-RIN11 Backplane Interface Board PCB Rev. 1.30 TAS300 Load Port Used 1
A-15226 Nikon 4S587-469-3 Linear Motor Controller SPA452A 4S013-373-2 NSR System Used 1
A-15227 Komatsu Electronics NE-3122C-7L Recirculating Chiller ABBAA0009000 Used Working 1
A-15228 National Display Systems 90X0026 15in Touchscreen Monitor DM-X15/ZN Used Working 1
A-15229 Echelon 801-1047-51 Flash Control Module PCB 55010R-10 iH Sim TP/XF-78 Used 1
A-15230 Echelon 801-1047-01 Flash Control Module 55010-10 iH Sim TP/XF-78 Used Working 1
A-15231 Edwards D37232212 Processor Board PCB EGM TEG-DL1 Used Working 1
A-15232 Edwards D37232212 Processor Board PCB Used Working 1
A-15233 SVG Silicon Valley Group 859-8215-003 Control Board PCB 859-0954 Used Working 1
A-15234 SVG Silicon Valley Group 859-8210-004 Control Board PCB 859-0954 Used Working 1
A-15235 Edwards D37215232 iNIM Network Interface Backplane Board PCB Issue D Used 2
A-15236 Nikon 4S005-155 Interface PCB Card TEMP-AMP2 NSR-S306C Used Working 2
A-15237 Nikon 4S007-549 Power Control Card PCB HUMI-PWR NSR-S306C Used Working 1
A-15238 Nikon 4S008-072 Relay Control Card PCB ALCP-AD2X3 NSR-S306C Used Working 1
A-15239 KLA Tencor 0327320-000 19in Display Monitor Used Working 1
A-15240 Multimek 10-101250-RB Power Supply Used Working 1
A-15241 Tokyo Flow Meter EM-0100 Multi-Digitalmeter Lot of 10 Used Working 1
A-15242 SVG Silicon Valley Group 879-8073-002 Cap Gauge ASP Assembly Board PCB Spare 2
A-15243 SVG Silicon Valley Group 859-0955-002 Negative Driver Board PCB Card A5552 Spare 2
A-15244 SVG Silicon Valley Group 859-0757-001 Positive Driver Board PCB Card A5553 Spar 2
A-15245 SVG Silicon Valley Group 99-80184 Arm Vacuum Sensor Board PCB Working Spare 1
A-15247 Cyber Research 9700-7182-12 Processor PCB Card Working Spare 1
A-15248 AltiSys Communications P/I-P4BVLL SBC Single Board Computer PCB PC 2100 Spare 1
A-15249 Portwell PCI-2UP3 Backplane Board PCB Working Spare 1
A-15250 Nikon 4S782-443 ALCP Temperature Controller NSR-S204B Step-and-Repeat Spare 1
A-15251 Zygo 8040-0128-01 9mm ZMI Fiber Optic Pickup Nikon NSR-S620D Scanner Spare 1
A-15252 Zygo 8040-0128-01D 9mm ZMI Fiber Optic Pickup Nikon NSR-S620D Scanner Spare 4
A-15253 Zygo 8040-0130-01D 18mm ZMI Fiber Optic Pickup Nikon NSR-S620D Scanner Spare 3
A-15254 Kneil System-Electronic 313-021-04 .03 Power Supply PCB Card ASML 4022.436.7930 1
A-15255 SVG Silicon Valley Group 879-8073-002 Cap Gauge ASP Assembly Board PCB Spare 1
A-15256 EMACS 2000930112 Power Supply SP2-4300FA Working Spare 1
A-15261 SVG Silicon Valley Group Shuttle Arm Assembly 80184C2-02 No Arm Working Spare 1
A-15262 Sony 1-876-867-12 LD Module Processor PCB Card CT-LS01 Nikon NSR-S620D Spare 1
A-15263 Nikon CS017-011 Interface Board PCB NSR System Working Spare 1
A-15264 Sony 1-876-867-12 LD Module Assembly PCB Card Nikon 4S900-155 NSR-S620D Spare 1
A-15265 Nikon 4S900-155 LD Module LDM790A NSR-S620D ArF Immersion Scanner System Spare 6
A-15266 Nikon 4S900-157 LD Module LDM650A NSR-S620D ArF Immersion Scanner System Spare 6
A-15267 Sony 1-876-868-12 LD Module Backplane Board PCB MB-LS03 Nikon NSR-S620D Spare 1
A-15268 Power-One HBAA-40W-A Power Supply Delta Design 1923710-001 Working Spare 2
A-15269 Power-One HBAA-40W-A Power Supply Delta Design 1947972-001 Working Spare 1
A-15270 Nikon 4S013-495 Illusion Unit Backplane Board PCB ILC-UNIT-BP NSR System Spare 1
A-15271 Hamamatsu S9037-0902N Window-Less CCD Area Image Sensor FW08 388 Nikon NSR-S620D 2
A-15272 Nikon 4S554-488 Processor Board PCB AFU-S40-S10-4CT-N11 NSR-S620D Working Spare 2
A-15273 Advanet AGpci7500 SBC Single Board Computer PCB Card Nikon 4S015-265 NSR Spare 1
A-15274 Nikon 4S008-135 Processor PCB Card AF-PSDSUB-X3 NSR-S307E DUV Scanning Spare 13
A-15275 Nikon 4S008-089 Relay Control Board PCB 4S008-089 NSR-S307E DUV Scanning Spare 1
A-15276 Nikon 4S014-182 Interface Relay Board PCB AF-I/FX4A NSR-S307E DUV Scanning Spare 1
A-15277 Nikon 4S008-086 Relay Control Board PCB AF-MPXX4A NSR-S307E DUV Scanning Spare 1
A-15278 Nikon 4S008-187 Backplane Interface Board PCB AF-PSDX4B NSR-S307E DUV Spare 1
A-15279 Nikon 4S013-497 Processor PCB Card DSP-INF NSR System Working Spare 1
A-15280 Nikon 4S554-492 Processor Board PCB AFU-S40-S10-4CT-N11 NSR-S620D Working Spare 1
A-15281 AMAT Applied Materials 9010-02162 Beamline Exaust Box Quantum X Working Spare 1
A-15282 MKS Instruments 152H-P0 Automatic Pressure Controller Type 152 No Key Spare 1
A-15283 Sony 1-876-865-12 Processor PCB Card SV-LS02 Nikon 4S025-363 NSR-S620D Spare 8
A-15286 Yamatake DMC55CVR40001000 Processor PCB Card 81423445-001 0912Ne Nikon NSR-S620 1
A-15287 Yamatake DMC55CVR40001000 Processor PCB Card 81423445-001 0908Ne Nikon NSR-S620 1
A-15288 Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-253 NSR-S620D Spare 1
A-15289 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Working Spare 1
A-15290 Yamatake DMC55CVR40001000 Processor PCB Card Nikon 4S014-252 NSR-S620D Spare 1
A-15291 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Spare 1
A-15292 Yamatake DMC55CVR40001000 Processor PCB Card Nikon NSR-S620D Working Spare 1
A-15293 Yamatake DMC55CVR40001000 Processor PCB Card 4S014-263 Nikon NSR-S620D Spare 1
A-15294 Yaskawa CRC-023 Processor Board PCB UZZZ002250 Nikon NSR System Working Spare 1
A-15295 Yaskawa TYA79-4005-NQ Processor Board PCB JASP-CAA3CPB Nikon NSR System Spare 1
A-15296 Advanet AGpci7508 SBC Single Board Computer PCB Card Nikon 4S015-497 Spare 1
A-15297 Sony 1-876-863-11 Processor PCB Card DPR-LS52 Nikon 4S025-362 NSR-S620D Spare 5
A-15298 Nikon 4S008-135 Interface Board PCB AF-PSDSUB-X3 NSR-S204B Step-and-Repeat Used 26
A-15299 TDK RAW12-14R Power Supply Nikon NSR-S204B Scanning System Used Working 2
A-15301 Nikon 4S018-214-1 Interface Board PCB LM.I/F NSR-S204B Step-and-Repeat Used 6
A-15302 Nikon 4S003-028 Interface Control Board PCB DCMD-L2 NSR-S204B Used Working 4
A-15303 Nikon 4S007-580-C Analog to Digital Interface Board PCB PPD-A/D NSR-S204B Used 3
A-15304 Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR-S204B Step-and-Repeat Used 1
A-15305 CompactPCI 6600-CPCI07TNI4 Backplane Board PCB PICMG2.0 R3.0 NSR-S620D Spare 1
A-15306 Nikon 4S015-130 Interface Board PCB NK-C31D21 NSR-S204B Working Spare 5
A-15307 Hamamatsu C9047/48_CB-0306 Interface Processor Board PCB Nikon NSR-S205C Working Spare 1
A-15308 Hamamatsu C9047/48_SB_0210 Interface Board PCB Nikon NSR-S205C Working Spare 1
A-15309 Shinko Electric M223D Compact Servo Drive Board PCB Working Spare 4
A-1543 Nikon NSR S204B 4S007-855 WL3CR PCB Board Working 1
A-1543 Nikon NSR S204B 4S007-855 WL3CR PCB Board Working 1
A-1544 Nikon NSR S204B 4S018-568-A WL3PNL4 PCB Board Working 1
A-1545 Nikon NSR S204B Yaskawa XU-DL1600W30 Driver Board Working 1
A-1549 Nikon 4S018-567-A Relay Board PCB WL3SEN4 NSR-S204B Step-and-Repeat Used Working 1
A-1550 Nikon 4S007-953-A Relay Card PCB WL3MOT5 NSR-S204B Step-and-Repeat Used Working 1
A-1551 Nikon NSR S204B Asahi 4S014-160A D2810 Linear Pulsemotor Cont. Board Working 1
A-1556 Nikon NSR S204B 4S018-531-1 WL3MTR-H6 PCB Board Working 1
A-1589 Nikon  NSR-S204B FPIF-IMAC Control Board 4S018-693 Working A20092 5
A-1597 Nikon NSR S204B Prealigner Assembly working 1
A-16000 HC30 Kashiyama HC30B Screw Drive Dry Vacuum Pump Untested As-Is 1
A-16001 HC60 Kashiyama HC60B Screw Drive Dry Vacuum Pump Used Untested As-Is 1
A-16002 TDK Corporation TAS300 300mm FOUP Load Port Type E3+ KLA-Tencor 0084604-005 New 4
A-16004 Nikon Model 300 Microscope Inspection System 010-4085-001 Untested Surplus 1
A-16016 Brooks Automation 162770-01 300mm Wafer Load Port VISION Working Spare 2
A-16020 Rudolph Technologies MetaPulse Cu 300mm BAY Vanguard Series 1
A-16055 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Spare 4
A-16055 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Spare 5
A-16056 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V7 Spare 2
A-16057 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V8 Spare 4
A-16058 Daihen RMN-20E2-V RF Auto Matcher TEL Tokyo Electron 3D80-000143-V6 Damage 1
A-1609 Nikon NSR-S204B LC Adaptor Board 4S015-215 Working 21M7009-0033 4
A-1619 Nikon  NSR-S204B Lens Assembly T-EXX3-05806 Working 1
A-1620 Nikon BD PlanApo 150/0.9 Microscope Objective 210/0 OPTISTATION 3 Used Working 1
A-1622 Nikon NSR S204B Monocular Lens Assembly Working 1
A-1624 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 1
A-1624 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 1
A-1624 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 1
A-1624 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 1
A-1624 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 1
A-1624 Koganei 4K179-652-1 Pneumatic Box SP1175W working Nikon NSR S204B 1
A-1627 Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working 1
A-1627 Nikon KXG72147 Power Supply 4S007-854-1 NSR S204B Used Working 1
A-1635 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
A-1635 TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used 1
A-1653 Sanyo Denki PMM-BD-5705-1 Servo Driver Pentasyn TEL Tokyo Electron P-8 Used 4
A-1697 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 9
A-1697 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 2
A-1697 TEL Tokyo Electron AP9E-0318C-12 Motor I/O Board TKB3100 ACT12 Used Working 1
A-1711 Asyst 03365-008 200mm Wafer Indexer Nikon OPTISTATION 3 Used Working 6
A-1715 Nikon 200mm Wafer Prealigner Assembly 2S013-076 Optistation 3 Used Working 3
A-1716 Nikon 200mm Wafer Handler Assembly Optistation 3 Inspection System Used Working 3
A-1717 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 1
A-1717 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 4
A-1717 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 10
A-1717 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 8
A-1717 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 5
A-1717 Nikon Optistation 3 200mm 2S003-017-1 PCB Board working 19
A-1722 Nikon Optistation 3 200mm Wafer Transfer  System SST55D3C020 V100E1 Used Working 3
A-1810 LAM 4420 Weldment Entrance & Exit Line 839-024563-100 Pair 839-024561-100 1
A-1827 TEL Tokyo Electron MA02702 LCD PCB Board ORN-3B ACT12 Used Working 1
A-1853 Nikon PCB Control Board SH-SNS 4S018-907 Used Working NSR-S307E 2
A-1855 Nikon 4S019-262 Interface Control Board PCB IU-DRV2-X4B1 NSR-S307E DUV Used 1
A-1856 Nikon PCB Control Board 4S013-481 Used Working NSR-S307E 1
A-1857 Nikon Control Board PCB 4S019-058 IU-CTRL1 Used Working NSR-S307E 1
A-1889 Applied Materials AMAT 0100-91086 Plasma Arc Current Board Used Working XR80 1
A-1978 Acromag IP Carrier PCB ASML AVME9675-2 4022.470-6639 Used Working 1
A-1981 Nikon NSR-S307E Asahi Liner Pulsemotor Controller D2990 working 4S014-178 1
A-1983 Nikon NSR-S307E XY-Link Controller Board 4S013-414-XYRLNK working 1
A-1984 Panasonic MSDA4ACA1A04 AC Servo Driver Used Working 1
A-1985 Fusion Semiconductor 238401 Process Chamber Control Card PWB Assembly Used 1
A-20 TEL Tokyo Electron 837 PRA Process Block Robotics Arm Assembly ACT12-200 Used 2
A-2119 ASML 4022-436-1609 ASML Control Board working 2
A-2121 ASML 4022-471-6615 Analog I/O Board 4022-471-4087 working 2
A-2150 ASML Power Supply 4022.476.01511 Used Working 1
A-2156 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 2
A-2156 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 1
A-2156 Nikon 4S015-227 Network Interface Board PCB NK8601A NSR Series Used Working 1
A-2157 ASML 5V Power Supply 4022.436.55291 Used Working 1
A-2189 MRC Materials Research A114571 Wafer Aligner Assembly Eclipse Star A114575 Used 1
A-2269 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working 2
A-2289 LAM Research 853-024403-100-8 Orbital Gas Panel 4420 Etcher Assembly Untested 1
A-2289 LAM Research 853-024403-100-8 Orbital Gas Panel 4420 Etcher Assembly Untested 1
A-2290 LAM Research 853-24403-100-6 Orbital Gas Panel 4420 Etcher Assembly Untested 1
A-2291 LAM Research 853-024403-500-E1 Orbital Gas Panel 4420 Etcher Assembly 1
A-2292 LAM Research 853-024403-583-C Orbital Gas Panel 4420 Etcher Assembly Untested 1
A-2452 Koganei Vacuum Assembly SP1207W 4K191-631 Used Working 6
A-2489 Nikon 4S782-766 Stepper Controller Driver Used Working 1
A-2489 Nikon 4S782-766 Stepper Controller Driver Used Working 1
A-2489 Nikon 4S782-766 Stepper Controller Driver Used Working 1
A-2545 AMAT Applied Materials 0040-48435 300mm Upper Chamber Adapter new 1
A-2560 AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter new 1
A-2693 Schlumberger 740021410 DC Power Supply Rev.02 working 7
A-2808 Nikon KXG76830 Lamp Control Module 4S008-035 working 1
A-2814 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Used Working 110
A-2820 Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge New 186
A-2844 SEIKO Precision VP-4500 Thermal Video Printer working 3
A-2913 TEL Tokyo Electron 3D81-000071-VI PF-DB LM CONT PCB Board 3D80-000701-V1 Used 1
A-2961 Schlumberger 740021410 DC Power Supply Rev.00 working 13
A-2964 Schlumberger 740021410 DC Power Supply Rev.01 working 2
A-2998 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Used Tested Working 1
A-3008 Westcor WE-100-1F/E-SCH DC Power Supply Rev.A working 27
A-3016 TDK DC Power Supply RGW48-32R Used Working 1
A-3033 STEC SV-P1203 Mass Flow Controller Air Pneumatic SV-P1000 Reseller Lot of 5 Used 11
A-3042 Nikon ALCP-MTHX3 Control PCB 4S018-837 Used Working 1
A-3073 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working 3
A-3073 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working 2
A-3073 JAE KT000227 6 Axis Vibration Measurement Unit JNP-002 Nikon 4S586-613 working 1
A-3074 Futaba HPS-FB6-2 Power Supply Module CZ0190 working 4S064-064 1
A-3074 Futaba HPS-FB6-2 Power Supply Module CZ0190 working 4S064-064 2
A-3074 Futaba HPS-FB6-2 Power Supply Module CZ0190 working 4S064-064 1
A-3127 Extreme Networks Summit48 15000 Network Switch Summit24 lot of 13 working 13011 1
A-3144 MagneTek GPD-506 E145918A AC Frequency Drive working 2
A-3184 Lambda LFS-52-5-44147-4 Regulated Power Supply Used Working 14
A-3191 Omron E5AX Digital Controller 303-030 for SVG860 1
A-3303 Lam 852-011201-001 Rev. J Exit Loadlock Assembly 853-012123-001 Rev. G Used 2
A-3304 Lam 852-011200-003-F-231 Entrance Loadlock 853-012123-001-E-230S 4420 Used 2
A-3304 Lam 852-011200-003-F-231 Entrance Loadlock 853-012123-001-E-230S 4420 Used 1
A-3305 LAM 4420 Etcher 852-011200-003 Rev.J Entrance Loadlock Assembly 853-012123-001 1
A-3308 Lam 4420 Etcher 852-011201-001 Exit Loadlock Rev.G 853-012123-001 Rev. E Used 1
A-3314 LAM Research  852-011200-583 Exit Loadlock Assembly 853-017637-002 Used Working 3
A-3315 LAM Research 852-011200-583 Exit Loadlock Assembly 853-017637-001-I Used Working 2
A-3315 LAM Research 852-011200-583 Exit Loadlock Assembly 853-017637-001-I Used Working 1
A-3329 LAM 4420 Etcher 852-017500-003-4 CRT-Keypanel Chassis Assembly 853-017505-001-C 2
A-3331 LAM Research 852-017500-001-D CRT-Keypanel Chassis Assembly 853-017505-001-B 1
A-3337 Lam 4420 Etcher CRT Keypanel Chassis Assembly 852-017500-003-B-C220 Used Working 1
A-3394 TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working 1
A-3394 TEL Tokyo Electron 1D08-000139-11 Unity II TYB324-1/PC PCB Used Working 1
A-3408 Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is 1
A-3410 LAM Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is 1
A-3410 LAM Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is 1
A-3410 LAM Research 853-024403-583-C-254 Orbital Gas Panel 4420 Etcher Assembly As-Is 1
A-3411 TEL Tokyo Electron 1D81-000129 Control PCB TYB222-1/SW Unity II Used Working 1
A-3412 Lam Research 853-012350-002-H-LL FDR Outer Gate Assembly 4420 Etcher Used 2
A-3418 LAM 4420 Etcher Outer Gate Assembly 853-140013-001-1-230S Working 2
A-3422 TEL Tokyo Electron 012-004123 Slit Valve Unity II Used Working 2
A-3426 Tokyo Electron TEL Unity II Slit Valve 012-004124 Used Working 2
A-35 SMC INR-244-230W Power Supply 12inch THERMO-CON Used Working 14
A-3502 TEL Tokyo Electron 3281-000138-12 PCB-CTROL Board PCB P-8 FA1006K501A Used 1
A-3506 Nikon WD Wafer Stage Robot NSR-S204B Step-and-Repeat Scanning System Used 1
A-3521 Lam 4420 852-011061-506 Reaction Chamber Assembly untested as-is 1
A-3521 Lam 4420 852-011061-506 Reaction Chamber Assembly untested as-is 2
A-3525 Lam Research 4420 852-011061-103 Lower Chamber Assembly 853-025103-003 as-is 4
A-3526 Lam 4420 852-011061-503-C-CHMAIN Reaction Chamber Assembly untested as-is 1
A-3576 Nikon 4S001-060 Power Supply PW-NA PCB Board Working 1
A-3577 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 1
A-3577 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 5
A-3577 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 1
A-3577 Tec Izu Electronics VDBC0002201 Power Module Nikon 4S001-107 NSR-S307E Used 1
A-3585 LKT Automation DPCS-NGCAM Die Placement Checking System working 1
A-3630 Omron Z4LC-C28 Parallel Beam Line Sensor Working 2
A-3751 Kyoto Denkiki KDS-30350 DC Power Supply Hitachi M-511E Etcher Used Working 4
A-3770 SMC INR-244-216T Thermo-Con Power Supply RCP INR-244-244T-670 Used Working 10
A-3789 CFM Technologies IPA Vapor Level ASM 363-089-01 Rev. F Used Working 1
A-3838 GPI REAH-THI-400-MS Temperature Humidity Controller GCR-THI-4 SVG 90 Used 1
A-3866 Hitachi DT-01 PCB Board Rev. A Hitachi M-712E Dry Etcher Used Working 1
A-3871 Kniel System-Electronic CP 24.1 24V Power Supply Card ASML 4022.436.79311 Used 1
A-3887 LAM Research 852-011201-001-L-230 Harmonic Arm Drive Chamber 715-130092-008 1
A-3888 LAM Research 852-011201-583-A-LLMAIN Harmonic Arm Drive Chamber 715-130092-008 1
A-3889 LAM Research 852-011201-001-E-231 Harmonic Arm Drive Chamber 715-12101-8 1
A-3890 LAM Research 852-011200-003-L-230S Harmonic Arm Drive Chamber 853-012123-001-G 1
A-3892 View Engineering 2109385-511 Controller Assembly GA-365-1 2109380-507 Used 1
A-3896 Lam Research 853-012350-002-F-230 Outer Gate Assembly 4420 Etcher Used Working 2
A-3902 Vicor MP2-5701 Power Supply MegaPAC Rev. E Used Tested Working 1
A-3903 Vicor MP2-5701 Power Supply 3Ø MegaPAC Rev. D Used Tested Working 3
A-3904 Vicor MP2-5701 Power Supply 3Ø MegaPAC Rev. F Used Tested Working 2
A-3906 Vicor MP3-5804 Power Supply MegaPAC Rev. F Used Tested Working 1
A-3907 Vicor MP3-5804 Power Supply MegaPAC Rev. H Used Tested Working 2
A-3908 Vicor MP3-5804 Power Supply MegaPAC Rev. D Used Tested Working 2
A-4030 AMAT 9010-00163ITL XR80 Implanter Gas Box (Single) Used Working 2
A-4074 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Used Working 1
A-4108 Hubbel A613RXX Electric Booster Heater Used Working 2
A-4132 Edwards D37215000 Vacuum Flash Module Used Working 145
A-4167 Hitachi 560-5533 Power Supply PCB Board NDEF-PS 564-5529 S-9300 SEM Used Working 5
A-4218 Novellus 03-10641-00 Loadlock Robot Rev.K Concept II Altus working 1
A-4219 Novellus 03-10641-01 Loadlock Robot Rev.L Concept II Altus working 1
A-4267 Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working 1
A-4267 Yaskawa DDMQF-SR2232IR System Robot Controller RC/ELC1 SRC-II 005 Used Working 1
A-4273 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working 1
A-4273 TEL Tokyo Electron 1D81-000140 PCB Board TYB41D-1/TMP Used Working 1
A-4274 TEL Tokyo Electron 1D81-000120 PCB Board TYB419-1/PC Unity II Used Working 2
A-43 TEL Tokyo Electron 2981-600514-11 EXT DIO Board 2908-600386-12 SP001 ACT12 Used 1
A-43 TEL Tokyo Electron 2981-600514-11 EXT DIO Board 2908-600386-12 SP001 ACT12 Used 1
A-439 Yaskawa VS2B Robot & Controller RC/ELC1 SRC-II 005 2
A-4511 TEL Tokyo Electron Unity 2 Left / Right 200mm cassette Loader Set 2
A-4525 Nagano Keiki CE10 Electronic Pressure Switch Lot of 10 Used Working 4
A-4542 Yaskawa VS2B Wafer Transfer Robot with Dual End Effector Used Working 1
A-4831 Tokyo Electron TEL DC/DC Converter Board 2981-600310-12 Used Working 7
A-4838 TEL Unity 2 TMP 8ch Control Board REX-B860-CS2 working 2
A-4839 TEL Untiy 2 PCB TYB41C-1 1D81-000128-11 working 2
A-4847 TEL Tokyo Electron 1D81-000133 ILK Control Card PCB TYB131-1/ILK Used Working 1
A-4848 TEL Tokyo Electron 1D81-0000-0096A9 Unity II Gas Board Used 2
A-4851 TEL Tokyo Electron MAIO Control Card 1D81-000099-C4 1
A-4858 TEL Tokyo Electron 1D08-000131-11 Unity II TYB421-1/INL PCB Used 1
A-4866 TEL Tokyo Electron 846 CPL Chill Plate Process Station ACT12 200mm Used Working 1
A-4880 SMC INR-497-001B Dual Channel Thermo Chiller Tested Working 1
A-4884 TEL Tokyo Electron 2985-403942-W1 Left Motor Scan Assembly ACT 12 300mm Used 1
A-4907 Edwards Local Control Module D37420000 Used Working 7
A-4908 Edwards Y14204000 Temperature Management System TMS Used Working 1
A-4914 TEL ACT 12 300mm Develop Process Station Air Valve Assembly Untested AS-IS 2
A-4947 Edwards D37215000 Vacuum Pump Flash Module Used Working 140
A-4959 Oriental Motor 4054-LD4 5-Phase Servo Driver lot of 2 working 14
A-4978 TEL Tokyo Electron 1D08-000103 Unity II PCB TYB412-1/C Used Working 1
A-4990 TEL Tokyo Electron 1D81-000099-A6 Control PCB TVB111-1/MAIO Used Working 1
A-4990 TEL Tokyo Electron 1D81-000099-A6 Control PCB TVB111-1/MAIO Used Working 1
A-4993 TEL Tokyo Electron 1D81-000098-B4 Unity II DIO PCB TYB112-1/DIO Used Working 3
A-4995 TEL Tokyo Electron 1D81-000009218 Unity II PCB TYB 121-1/COM Used Working 3
A-4996 TEL Tokyo Electron 1D81-000126 PCB Control Board TYB311-1/PC Used Working 1
A-4996 TEL Tokyo Electron 1D81-000126 PCB Control Board TYB311-1/PC Used Working 1
A-5021 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working 2
A-5044 Edwards D37310000 iNIM Base Network Interface 3X Cards 1X EGM Used Working 1
A-5117 AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working 2
A-5145 Orion Pelthermo ETM832A-DNF-L-G2 3000W Power Supply 1-Phase 208V Working 19
A-5214 Nikon 4S015-197 CPU Board NK386SX4-NSC Used Working 1
A-5240 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working 1
A-5240 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working 3
A-5240 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Used Working 4
A-5262 Koganei 4K199-395 Nikon Pneumatic Box Assembly NSR-S307E Used Working 1
A-5262 Koganei 4K199-395 Nikon Pneumatic Box Assembly NSR-S307E Used Working 4
A-5277 Mitsubishi AC Servo Drive MR-J2-20A-S12 working 9
A-5277 Mitsubishi AC Servo Drive MR-J2-20A-S12 working 1
A-5283 Mitsubishi MR-J2-10A-S12 AC Servo Drive MELSERVO Used Working 2
A-5296 Screen PC-97010 PMCDIV Interface SL-3020 VMEbus PCB Card Used Working 5
A-5300 Edwards D37420000 Local Control Module  Used Working 4
A-5318 TDK RDH24-6R0 DC Power Supply Used Working 2
A-5318 TDK RDH24-6R0 DC Power Supply Used Working 1
A-5325 Edwards NRY00T0000 VFB Purge Box P126X Used Working 1
A-5328 Omron Z4LC-C28 Parallel Beam Line Sensor Working 1
A-5328 Omron Z4LC-C28 Parallel Beam Line Sensor Working 14
A-5358 Orion Pelthermo ETM832A-DNF-L-G3 Power Supply 3000W 1-Phase 208V Working 12
A-5367 Mycom PG104L-04 Process Control VMEBus PCB Card PG-104 MY5211-047A Used Working 15
A-5383 Edwards U20000921 Network Interface 2X Cards 1X EGM Used 2
A-54 KLA-Tencor CRS1010 Mirror Assembly 003087 000381 working 1
A-5400 Hitachi Interface PCB VMPM-02N Working 1
A-5424 Screen PC-97040A Control PCB HLS-MC1A Used Working 1
A-5424 Screen PC-97040A Control PCB HLS-MC1A Used Working 1
A-5426 Screen PC-97013B Interface PCB HLS-MC2 Used Working 1
A-5426 Screen PC-97013B Interface PCB HLS-MC2 Used Working 1
A-5448 Edwards D37420000 Local Control Module Used Working 4
A-5460 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working 2
A-5460 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working 3
A-5460 AMAT Applied Materials DIP294 DeviceNet I/O Block AMAT 0190-36511 Working 1
A-5484 Edwards D37420000 Local Control Module Used Working 1
A-5488 Hitachi ILP-02 PCB Used Working 1
A-5516 ADTEC AX-2000EUII-N RF Generator Tested Working 6
A-5517 ADTEC AX-2000EUII-N RF Generator 2000W Tested Not Working As-Is 4
A-5523 Edwards D37420000 Local Control Module Used Working 46
A-5523 Edwards D37420000 Local Control Module Used Working 6
A-5529 Edwards U20000920 Flash Module Network Interface D37310000 Used 6
A-5530 Edwards U20000924 iNIM D37310000 Network Interface Used Working 6
A-5534 Edwards A52844463 im Interface Module Used Working 4
A-5534 Edwards A52844463 im Interface Module Used Working 51
A-5535 Edwards A52844460 im Interface Module Used Working 4
A-5535 Edwards A52844460 im Interface Module Used Working 56
A-5537 JAE UT3-JAG4-L Touch Panel Monitor Used Working 1
A-5606 ADTEC AX-2000EUII-N RF Generator 2000W Tested Working 5
A-5606 ADTEC AX-2000EUII-N RF Generator 2000W Tested Working 5
A-5611 Marathon Electric BVL326TTDP4347ADL AC Electric Motor Series E As-Is 1
A-5635 Novellus 02-304871-00 Aluminum RF Match Untested As-Is 3
A-5674 Orion ETM832A-DNF-L-G3 Pel Thermo Power Supply 3000W 1-Phase 208V Working 6
A-5678 Varian E11086971 Signal Tower Controller Rev. D Used Working 1
A-5728 Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator Used 28
A-5728 Hitachi Kokusai Denki Engineering U01200PMQA-DS1CE Ultrasonic Generator Used 29
A-5732 Allen Integrated Assemblies AP10204 PCB Assembly Used Working 41
A-5737 Power Ten Inc. 3300D-2050 DC Power Supply 20VDC 50A working 1
A-5744 Power-One HPM5J2J2K DC Power Supply Used Working 1
A-5752 Medtronic 1718A012 Y4 Surger Control MIE586 Temperature Chamber Used Working 1
A-5759 SMC VJ3233T 5-Port Pneumatic Solenoid Valve Block VJ3133T Lot of 2 Used Working 1
A-5759 SMC VJ3233T 5-Port Pneumatic Solenoid Valve Block VJ3133T Lot of 2 Used Working 4
A-5766 Nikon NSR S307E Photoelectric Sensor Module Z4LC-S28 Used Working 1
A-5808 Daihen RGA-50C-V RF Power Generator 5000W Tested Working 2
A-5810 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 2
A-5810 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 2
A-5810 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 1
A-5810 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 1
A-5810 Daihen AGA-50B2-V RF Power Generator DGP-120A2-V DC Power Supply Tested Working 2
A-5812 Quantum Leap 3 9010-01181 ITL ENCO Load Lock Door Module Used Working 1
A-5814 Quantum Leap 3 9010-00299 ITL ENCO Load Lock Door Module Used Working 1
A-5814 Quantum Leap 3 9010-00299 ITL ENCO Load Lock Door Module Used Working 1
A-5816 Hitachi RYY-1 Interconnect PCB Working 2
A-5817 Hitachi BBDP2-01 Interconnect PCB Working 1
A-5817 Hitachi BBDP2-01 Interconnect PCB Working 2
A-5825 TEL Tokyo Electron 1264-G-5069-C-01 Triax New 8
A-5826 TEL Tokyo Electron 2910-100464-11 Shutter TEL ACT 12 New 17
A-5851 TEL Tokyo Electron 3D81-000020-V3 Power Supply PCB TYB514-1/I048 Lot of 2 Used 1
A-5863 VAT 12144-PA24-AFT1 Pneumatic Gate Valve ISO-160 Used Working 2
A-5870 TEL Tokyo Electron TE6036A7 Control Box M-511E Used Working 1
A-5893 Johnson Controls 97121400 Low Voltage Heat Exchanger DX-9100-8454 Used Working 1
A-5903 LAM 852-011061-203-5-231 Lower Reaction Chamber 853-011632-503B-231 4420 Used 1
A-5907 TDK RAW12-14R 12V DC Power Supply Used Working 1
A-5926 TEL Tokyo Electron Lithius Develop Process Station Used Working 1
A-5926 TEL Tokyo Electron Lithius Develop Process Station Used Working 1
A-5926 TEL Tokyo Electron Lithius Develop Process Station Used Working 1
A-5926 TEL Tokyo Electron Lithius Develop Process Station Used Working 1
A-5926 TEL Tokyo Electron Lithius Develop Process Station Used Working 1
A-5926 TEL Tokyo Electron Lithius Develop Process Station Used Working 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5927 TEL Tokyo Electron COT Coat Process Station COT Lithius Clean Track Used 1
A-5929 TEL Tokyo Electron Lithius Adhesion Process Station ADH Used Working 12
A-5929 TEL Tokyo Electron Lithius Adhesion Process Station ADH Used Working 8
A-5930 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working 12
A-5930 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working 12
A-5930 TEL Tokyo Electron Lithius Low Temp Hot Plate Process Station LHP Used Working 2
A-596 Varian E1000 ISPM Closed Loop Module E11057020 working 1
A-5966 SVG 99-46616-01 Wafer Cassette Indexer 9021ESI Used Working 6
A-5996 Hitachi M-511E Microwave Plasma Etching System Control Module Used Working 1
A-6005 Yaskawa XU-CM2500 Robot Controller OST7-01-031-3 Nikon Optistation 7 Working 1
A-6047 Neslab DiMAX Thermo Electron 622023991801 Thermo Chiller As-Is 1
A-6065 Neslab DiMAX Thermo Electron 622023991801 Thermo Chiller Tested Not Working 1
A-6134 Edwards U20000922 INIM Network Interface D3731000 Used Working 2
A-6135 Edwards U20000937 MCM & AIM Vacuum Interface Module Used Working 1
A-6153 IPX-500A BOC Edwards A409-14-977 Dry Pump Tested Working 2
A-6154 Edwards EPX180L Turbopump A419-41-152 needs rebuild as-is 1
A-6191 Fuji Seiki BV-4AX0-HF Exhaust Throttle Valve Used Working 1
A-622 TEL Tokyo Electron ACT 8 Transition Stage Cover 2985-404501-1 used 1
A-6235 Sanken MLT-DCBOX5 Power Supply TEL Tokyo Electron U2-855DD Unity II Used Working 1
A-6255 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM H2 Used 1
A-6255 Horiba STEC SEC-7340BM Mass Flow Controller SEC-7340 10 SLM H2 Used 1
A-6267 Owens Design 3464-003 Sapphire Tester Autoprober With Controller Used Working 1
A-6336 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working 1
A-6336 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working 1
A-6336 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working 3
A-6336 iL600N Edwards NRB4-47-945 Dry Vacuum Pump Copper Exposed Tested Working 1
A-6352 Neslab 386105021704 Recirculating Thermo Chiller HX-75A Tested Working 1
A-6353 Neslab 622023991801 DEI Water To Water Cooler Heat Exchanger Dimax Tested 1
A-6375 TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used 1
A-6375 TEL Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12 Used 1
A-6378 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used 1
A-6378 TEL Tokyo Electron LPC-T0007A-11 Load Port Add On Board T0B1007 ACT12 Used 1
A-6384 Takada FJ39EB-T010N0 Interface PCB TEL Tokyo Electron ACT12 Used 1
A-6406 RKC Instruments DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Used Working 1
A-6410 Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working 2
A-6410 Hitachi HA-024 DC/DC Conversion Board #05 PCB Board PHA-024-0 Used Working 1
A-6411 TEL Tokyo Electron HA-012 DC/DC COV #02 PCB PHA-012-1 Lithius Used Working 7
A-6414 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working 1
A-6414 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working 4
A-6414 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working 1
A-6414 Hitachi ZVC018-0 Printed Circuit Board ZVC018-B00 PCB ZVC018-BA0 Used Working 1
A-6422 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 2
A-6422 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 12
A-6422 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 8
A-6422 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 8
A-6422 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 2
A-6422 Oriental Motor CSD5807N 5-Phase Driver Vexta TEL ACT12 Used Working 10
A-6423 TEL Tokyo Electron AP9E-0318C-12 PCB Motor I/O Board ACT12 Assembly Used 3
A-6429 Takada FJ39EB-X010N0 CRA X Board PCB TEL Tokyo Electron ACT12 Used Working 1
A-6449 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Tested As-Is 1
A-6450 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Tested Not Working 1
A-6451 Daihen WGA-50E-V RF Power Generator Stack Tested As-Is 1
A-6452 Daihen RGA-50C-V RF Power Generator Tested As-Is 2
A-6453 Daihen AGA-27C-V RF Power Generator Tested Working 1
A-6455 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working 3
A-6455 Daihen RGA-10D-V RF Power Generator TEL 3D80-000826-V2 Used Tested Working 1
A-6456 Daihen AGA-50B2-V RF Generator DGP-120A2-V DC Power Supply Tested As-Is 1
A-6457 Diahen AGA-50G-V RF Power Generator Untested As-Is 1
A-6457 Diahen AGA-50G-V RF Power Generator Untested As-Is 1
A-6458 Daihen WGA-50E-V RF Power Generator Stack Tested Working 2
A-6458 Daihen WGA-50E-V RF Power Generator Stack Tested Working 1
A-6459 Daihen WGA-50E-V RF Power Generator Stack Tested Not Working 1
A-6460 Daihen WGA-50E-V RF Power Generator Stack As-Is 1
A-6463 Daihen RGA-50C-V RF Power Generator As-Is 1
A-6510 Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used 2
A-6510 Opal 50312560000 CCS PCB Board AMAT Applied Materials SEMVision cX Used 1
A-6512 Opal 50412570 ETD PCB Board AMAT SEMVision cX Used Working 1
A-6513 Opal 50312540100 DVD PCB Board AMAT SEMVision cX Used Working 1
A-6514 Opal 3061255000 MIS2 PCB Board AMAT SEMVision cX Used Working 3
A-6515 Opal 30612530100 SRA3 PCB Board AMAT SEMVision cX Used Working 1
A-6520 Nikon NSR-S307E High-Powered Lens Assembly As-Is 2
A-6531 Fortrend 120-1004 Wafer Load Station F-8025 Tested Working 1
A-6532 TEL Tokyo Electron 2985-445336-W1 IFB Interface Block Cooling ACT12 200mm Used 5
A-6534 Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested As-Is 1
A-6535 Fortrend 120-1004 Wafer Load Station F-8025 Copper Exposed Tested Working 1
A-6536 Fortrend 120-1004 Wafer Load Station F8025S Tested Working 1
A-6540 TEL Tokyo Electron IFB Interface Block Robotics Arm ACT12 200mm Used Working 1
A-6542 TEL Tokyo Electron ADH Adhesion Plate Process Station ACT12 200mm Used Working 2
A-6543 TEL Tokyo Electron IFB Interface Block Robotics Arm ACT12 200mm No End Effector 2
A-6546 TEL Tokyo Electron SHU Shuttle Slider Assembly ACT12 200mm Used Working 4
A-6553 Fortrend 120-1004 Wafer Load Station F8025 Tested Not Working 1
A-6556 TEL Tokyo Electron Fuse Block ACT12-200 200mm Used Working 9
A-6563 TEL Tokyo Electron Photoresist Buffer Tank Assembly TMD002-X8 ACT12 Used Working 10
A-6567 Oriental Motor A4839-9015KE 5-Phase Stepping Motor TEL ACT12 Used Working 3
A-6578 VAT 12148-PA24-AIG1 Pneumatic Gate Valve Used Working 5
A-6584 Hitachi Stage Control Unit 568-5521 S-9300 SEM Used Working 1
A-6585 Hitachi EVAC Control Unit 569-5517 S-9300 SEM Used Working 5
A-6586 Hitachi 560-5501 NCOLDCPS Power Supply Assembly S-9300 SEM Used Working 6
A-6587 HVA 11210-1003R-001 Gate Valve Used Working 2
A-6587 HVA 11210-1003R-001 Gate Valve Used Working 4
A-6588 VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve Used Working 3
A-6589 VAT 0210X-CA24-BCU1 Rectangular Dual Slit Valve 0210X-CA24-BIR1 Used 2
A-6590 VAT 0210X-CA24-BIR1 Rectangular Dual Slit Valve Used Working 6
A-6591 VAT 0360X-CA24-BCY1 Rectangular Dual Slit Valve Used Working 13
A-6596 BOC Edwards D38665000 Active Gauge Controller Nikon NSR Used Working 1
A-6660 TEL Tokyo Electron 2981-600409-11 PRA X Board PCB 2908-600409-11 ACT12 Used 1
A-6662 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used 1
A-6662 TEL Tokyo Electron 20-Port Pneumatic Manifold 1-20 CKD 4SB019-C3 ACT12 Used 1
A-6664 TEL Tokyo Electron CT2985-404153-W3 DEV (L) Develop Process Station ACT12 As-Is 1
A-6665 TEL Tokyo Electron CT2985-403906-W3 DEV(R) Develop Process Station ACT12 As-Is 1
A-6676 iQDP40 Edwards A532-40-905 Dry Vacuum Pump Tested Not Working As-Is 2
A-6714 TEL Tokyo Electron Interface Block Roller Clean Track ACT12 Used Working 1
A-6717 Oriental Motor A4509-048 5-Phase Driver PCB Used Working 7
A-6717 Oriental Motor A4509-048 5-Phase Driver PCB Used Working 1
A-6717 Oriental Motor A4509-048 5-Phase Driver PCB Used Working 4
A-6717 Oriental Motor A4509-048 5-Phase Driver PCB Used Working 6
A-6718 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 1
A-6718 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 1
A-6718 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 1
A-6718 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 1
A-6718 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 3
A-6718 Daifuku OPC-2603A PCB Board OPC 2603 (A) Used Working 8
A-6730 Haskris Company MW1 Recirculating Chiller Used Tested As-Is 1
A-6738 Tel Tokyo Electron HTEW-TA6-E-11 AC Control Board #06 TAB2300 Used Working  1
A-6739 TEL Tokyo Electron PBCP2-U250-01-I AC Power Box Cellesta As-Is 1
A-6743 HX+75A Thermo Electron 386105021704 Recirculating Chiller Neslab Tested Used 1
A-6746 HX+75A Thermo Electron 386105021704 Recirculating Chiller Neslab As-Is  1
A-6755 Nikon 4S007-994 Interface Board PCB AF-PSDX22-SUB NSR-S204B Step-and-Repeat Used 10
A-6770 Shimadzu TPB-60D (1) Turbomolecular Pump Controller TMP Power Unit Turbo Used 1
A-6772 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 5
A-6772 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 10
A-6772 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 13
A-6772 Oriental Motor CSD5807N-P-A37 Servo Drive Vexta TEL Lithius Used Working 1
A-6773 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 3
A-6773 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 2
A-6773 TEL Tokyo Electron HA-019 IF Analog #01 PCB Board Lithius Used Working 1
A-6776 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working 1
A-6776 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working 4
A-6776 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working 1
A-6776 TEL Tokyo Electron TKB7000 IO SPIN #01 TBK7042 COT ASSY PCB Lithius Used Working 4
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 1
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 4
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 4
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 4
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 5
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 1
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 1
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 4
A-6777 CKD AMF-D-X1 TEL Tokyo Electron Lithius AMF-D PCB Used Working 2
A-6778 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working 2
A-6778 TEL Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Used Working 6
A-6779 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working 1
A-6779 TEL Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Used Working 1
A-6780 TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working 1
A-6780 TEL Tokyo Electron OYDK-018B CONN P-CHEM #01 PCB Lithius Used Working 1
A-6784 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used 6
A-6784 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used 6
A-6784 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used 2
A-6784 TEL Tokyo Electron WCPL/TRS Water Controlled Chill Plate Process Station Used 1
A-6785 QMB250 Edwards A301-86-905 Vacuum Pump Mechanical Booster Damaged Untested As-Is 1
A-6811 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used 4
A-6811 Sony XC-7500 VGA Camera Module Donpisha Nikon NVCEX-2SD5H-B NSR System Used 4
A-6825 TEL Tokyo Electron AQA-K09-TYP2 Chiller Used Tested Working 1
A-6827 SMC INR-497-001 Dual Channel Thermo Chiller Tested With Faults As-Is  1
A-6830 SMC INR-497-001B Dual Channel Thermo Chiller Galden Only Tested Used Working 1
A-6836 Bay Networks Model 5000 14 Card Slot Ethernet Web Host Used Untested As-Is 8
A-6948 RECIF IDLW8 200mm Optical Character ID Reader Wafer Sorter No display Used As-Is 1
A-7032 Digital Dynamics 27-10157-00 I/O Controller IOC V4.00 Used Working 3
A-7101 Mitutoyo 09AAA790 Linear Scale ST320 Lot of 2 Used Working 1
A-716 Varian E1000 Power Box Assy. E11038270 working 1
A-7177 Edwards D37420000 Local Control Module iTIM E73+A1+T1 Reseller Lot of 10 Used 6
A-7183 Edwards NRY00T0000 P126X VFB Purge Box Rev. E Used Working 1
A-7202 Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working 2
A-7272 SOC Showa Optronics Company GLG5415A HeNe Laser Head 20nW 632.8nm Used Working 1
A-7272 SOC Showa Optronics Company GLG5415A HeNe Laser Head 20nW 632.8nm Used Working 3
A-7278 Cosel UAF500W-24 Power Supply 500 Watt F500-24 Used Working 1
A-7291z TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used 1
A-7294z TEL Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working 1
A-7307 TEL Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working 3
A-734 SMC Thermo-Con Power Supply INR-244-216V working 1
A-7352 Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working 2
A-736 Granville-Phillips Degas Controller 307004 working 2
A-7362 Saginomiya LNE-ZN20-010 Pulse Converter Used Working 1
A-7372 Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev 7 Used 1
A-7413 TDK RKW24-27R Switching Power Supply Used Working 1
A-7463 AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Used Working 1
A-747 Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. D Used Working 1
A-7476z Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used 1
A-7477z Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used 1
A-7478z Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used 1
A-7479z Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working 15
A-7479z Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working 24
A-7484z TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used 1
A-7484z TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used 1
A-7484z TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used 6
A-7486z Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is 13
A-7489z TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 7
A-7489z TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 1
A-7489z TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 4
A-7490z TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used 1
A-7490z TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used 1
A-7491z TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used 1
A-7491z TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used 1
A-7492z Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 1
A-7492z Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 1
A-7494z TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used 1
A-7526z Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius Used Working 17
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 2
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 5
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 6
A-7531 TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 8
A-7534z TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 1
A-7534z TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 1
A-7534z TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 5
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536 TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 6
A-7536z TEL Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Used Working 1
A-7650 MRC A118144 Wafer Chuck Cylinder RF Assembly A116985 Eclipse Star S-A 00936 Used 3
A-7708 Panasonic LSC System Controller Unit BP225-MJ Used Working 1
A-7709 Panasonic LSC Automation Controller Module MINAS BP225-MJ Used Working 1
A-7749 SMC INR-244-216C Thermo-Con Power Supply RCP INR-244-244T-670 Used Working 2
A-7752 TEL Tokyo Electron 5085-404811-11 Career Station Robot No Effector Lithius Used 3
A-7836z TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used 1
A-7836z TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used 1
A-7837z TEL Tokyo Electron BPC-T0038A-11 CONN PRA Base #01 PCB TOB1038 Lithius Used 1
A-7837z TEL Tokyo Electron BPC-T0038A-11 CONN PRA Base #01 PCB TOB1038 Lithius Used 2
A-7848 TEL Tokyo Electron HTE-AC2-A-11 AC Control Board #002 PCB TAB1800 Used Working 1
A-7867 Nidec UGTMEM-01SNQ41 Minertai Motor Recitle Loader Robot X-Axis Used Working 1
A-7917 Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005 Used 2
A-7934 VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve Used Working 3
A-7935 VAT 1235154 Throttling Pendulum Vacuum Gate Valve Used Working 1
A-7936 VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154 Used Working 1
A-7945 GE Fanuc Series 90-30 PLC 5-Slot Controller IC693CPU313V Used Working 1
A-8022 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working 1
A-8022 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working 5
A-8022 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working 7
A-8022 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working 2
A-8022 TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working 5
A-8027 Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Lithius Reseller lot of 2 Used 2
A-8034 Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F VGA TEL Lithius Used 2
A-8035 Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F DVI TEL Lithius Used 8
A-8036 Taiyo Machinery MP-300-R2 Left Mapping Unit TEL 5087-403663-11 Lithius Used 7
A-8100 Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working 5
A-8161 SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit Used Tested Working 1
A-8175 Microbar Trackmate Chemical Delivery Cabinet 4 Tanks WCDP025L1 Used Working 1
A-8182 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 2
A-8182 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 1
A-8182 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 1
A-8182 TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 2
A-8183 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working 2
A-8183 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working 1
A-8183 TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working 2
A-8186 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working 1
A-8186 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working 2
A-8186 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working 1
A-8186 TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working 1
A-8187 TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used 3
A-8187 TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used 2
A-8187 TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used 1
A-8201 Opal 50317890000 SMC-Micro Board PCB Card Used Working 1
A-8203 Opal 70417880100 SMC-RS232 Card PCB AMAT Applied Materials Used Working 1
A-8204 Opal 70411560000 VCR/SMC Relay Board PCB AMAT Applied Materials Used Working 1
A-8217 AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used 1
A-8224 TEL Tokyo Electron 5085-407036-19 Process Block Robotics Arm PRA Lithius Used 1
A-8224 TEL Tokyo Electron 5085-407036-19 Process Block Robotics Arm PRA Lithius Used 2
A-8269 AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working 2
A-8276 TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used 2
A-8276 TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used 1
A-8276 TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used 4
A-8302 TEL Tokyo Electron XPC-T0045A-11 CONN PRA X #02 PCB Board TOB1045 Lithius Used 5
A-8303 TEL Tokyo Electron OYDK-055 ANALOG PT #02 PCB Board Lithius Used Working 6
A-8304 TEL Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lithius Used Working 9
A-8336 VAT 12044-PA24-1002 Vacuum Gate Valve ISO160 TMP Pump Adapter TEL Unity II Used 20
A-8348 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working 1
A-8348 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working 1
A-8348 TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working 1
A-8349 TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working 5
A-8349 TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working 5
A-8349 TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working 2
A-8349 TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working 4
A-8350 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working 4
A-8350 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working 1
A-8350 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working 6
A-8350 CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working 5
A-8351 Fisher Hamilton X54KL588PO Safeaire Fume Hood 54L Used Tested Working 1
A-8357 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working 2
A-838 Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working 2
A-8380 AMAT Applied Materials 9090-00732ITL Edwards Ion Gauge Module Used Working 12
A-8387 NTRON C7-01-5124-00-0 Model 5100 O2 Analyzer 5124B-N1 Used Working 1
A-8391 Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5087-400478-15 Lithius DEV ASSY Used 1
A-8392 Panasonic MFA090HA5NSC AC Servo Motor Assembly TEL Lithius DEV COAT Used Working 8
A-8401 AMAT Applied Materials 0100-91087 Sol/Fil EXT Interface PCB Card Issue F Used 1
A-8404 AMAT Applied Materials 0100-90650 Wheel Current Board Issue B Used Working 1
A-8406 AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used 1
A-8412 AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working 1
A-8413 AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working 1
A-8418 Hitachi DIO-02N Digital I/O Interface PCB Card Used Working 1
A-8419 Hitachi AIO-05N Analog I/O Interface PCB Card Used Working 2
A-8437 Tokyo Keiso SFC-M Flowmeter Signal Converter Controller TEL Lithius Used Working 2
A-8437 Tokyo Keiso SFC-M Flowmeter Signal Converter Controller TEL Lithius Used Working 1
A-8453 TEL Tokyo Electron OYDK-063 CONN ADH CHEM #02 PCB Board Lithius Used 1
A-8494 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working 1
A-8494 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working 1
A-8494 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working 2
A-8494 Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working 1
A-8518 Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working 1
A-8518 Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working 3
A-8522 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working 1
A-8522 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working 2
A-8522 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working 1
A-8522 Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working 1
A-8533 Chatillon BP15-400T III Mechanical Bench Scale Type 15 Used Working 3
A-8547 Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used 5
A-8547 Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used 1
A-8548 Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used 4
A-855 Varian E1000 A-Switch Assy. 111085001 working 1
A-8553 Mitsubishi Q173DV PLC SSCNET Channel Distribution PCB TEL PR300Z Used Working 1
A-8596 TEL Tokyo Electron PR300Z Wafer Robot Assembly 300mm MC5552-801-001 Used Working 2
A-8596 TEL Tokyo Electron PR300Z Wafer Robot Assembly 300mm MC5552-801-001 Used Working 1
A-8663 Omron S8PS-05005C 5V DC Power Supply Used Working 8
A-8666 Omron S8PS-10024C 24V DC Power Supply Used Working 2
A-8668 Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Used Working 3
A-8669 Brooks TLG-I2-FL6M-01 Transponder Reader TLG-RS232 Used Working 5
A-8705 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 1
A-8705 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 2
A-8705 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 5
A-8705 TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 1
A-8706 TeleFrank TZP80-2405/S AC-DC Converter TZP 80 Used Working 6
A-871 ASML Nikon Reticle Power Supply 4022.470.0884 working 1
A-8715 TDK S2091-86-001 Load Port Power Supply TAS300 Used Working 40
A-8733 Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used 21
A-8733 Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used 7
A-8735 Hitachi ZVV016 Processor PCB Card I-900 EXBF2 I-900SRT Used Working 2
A-8747 Hitachi ZVV023 Processor PCB Card I-900 CELCMP2 I-900SRT Used Working 4
A-8748 Hitachi ZVV032 Processor PCB Card I-900 VINP2 I-900SRT Used Working 8
A-8749 Hitachi ZVV034 Processor PCB Card I-900 VEDIT2 I-900SRT Used Working 4
A-8750 Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working 1
A-8750 Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working 1
A-8751 Hitachi ZVV036 Processor PCB Card I-900 CLOCK1_2 I-900SRT Used Working 1
A-8752 Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT Used Working 1
A-8753 Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT Used Working 4
A-8756 Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT Used Working 1
A-8759 Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT Used Working 1
A-8760 Hitachi ZVV029 VME Interface Board I-900 EXBF-VME2 PCB Card I-900SRT Used 2
A-8762 Densan DVEVA-SH7750 ES4 VME Interface PCB Card I-900SRT Used Working 3
A-8770 TEL Tokyo Electron CPC-T0001A-13 Chemical I/O PPC-T0006A-11 2981-600001-12 Used 1
A-8789 SMC 3D80-000057-V1 2-Port Pneumatic Manifold SZ3A60K-5NLOZ-M-X31 Used Working 2
A-8789 SMC 3D80-000057-V1 2-Port Pneumatic Manifold SZ3A60K-5NLOZ-M-X31 Used Working 2
A-8825 Nikon OPTISTATION 3 200mm Wafer Inspection Stage Assembly 2S700-587 Used Working 3
A-8830 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used 1
A-8830 SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used 1
A-8831 SVG Silicon Valley Group 99-80270-01 SYS90 System I/O Board PCB Rev. G 90S Used 1
A-8832 SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor PCB Board 90S DUV Used 1
A-8840 SVG Silicon Valley Group 99-80308-01 DC Distribution MCE Mount PCB 90S Used 1
A-8841 SVG Silicon Valley Group 99-80304-01 Handler Interface Board PCB 90S DUV Used 1
A-886 Panasonic MF212 Camera Control Assy. TEL P-8 Prober 2
A-8868 Hitachi BBS208-4 System Interface LED Display Connection Board PCB Used Working 1
A-8880 IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90s DUV Spare 1
A-8889 Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used 1
A-8889 Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used 2
A-8890 Nikon 2S003-041 MIC-I/F PCB Board 4S003-058 OPTISTATION 3 Used Working 4
A-891 TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working 2
A-8923 SVG 28-543013-01-001 9038S/9037S Bake & Chill Station 28-43015-01 9044S 90S Used 1
A-8924 SVG Silicon Valley Group 99-4228-01 Vacuum Bake Station 150mm 9039 90S DUV Used 2
A-8925 SVG Silicon Valley Group 99-47988-01 Developer Coat Station 9032 90S DUV Used 2
A-8927 SVG Silicon Valley Group 99-51100-03 Developer Coat Station 9026 90S DUV Used 2
A-893 TEL Tokyo Electron P-8 Wafer Prober Loader Rack 3281-000086-13 working 23
A-894 Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used 1
A-896 Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used 1
A-8982 SVG Silicon Valley Group 99-80268-01 System Power Supply Board PCB 90S DUV Used 1
A-8989 Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working 1
A-8989 Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working 1
A-9006 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used 2
A-9006 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used 1
A-9006 Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used 4
A-9007 Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working 2
A-9008 Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working 4
A-9008 Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working 12
A-9008 Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working 2
A-9009 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working 5
A-9009 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working 1
A-9009 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working 1
A-9009 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working 1
A-9009 Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working 1
A-9010 Mitsubishi FR-A024-0.4K Inverter FREQROL-A024 Parameter Unit FR-PU03E Used 1
A-9012 Delta Design 1941692-503 Pick and Place Interface Board PCB TLC-503 Used 1
A-9086 TEL Tokyo Electron OYDK-065 CONN EXT CHEM #02 PCB Board Lithius Used Working 4
A-9087 TEL Tokyo Electron OYDK-020 CNTR EXT CHEM #01 PCB Board Lithius Used Working 4
A-9088 TEL Tokyo Electron OYDK-064 RY EXT CHEM #02 PCB Board Lithius Used Working 2
A-9088 TEL Tokyo Electron OYDK-064 RY EXT CHEM #02 PCB Board Lithius Used Working 2
A-9089 TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Lithius Used Working 3
A-9089 TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Lithius Used Working 1
A-9115 Anorad B801857A Dual PI Interface Board PCB Used Working 1
A-9138 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used 3
A-9138 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used 1
A-9138 Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used 1
A-9139 Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working 2
A-9139 Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working 1
A-9139 Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working 1
A-9140 Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working 3
A-9140 Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working 1
A-9146 Delta Design 1906872-501 Input Sensor Board PCB Used Working 1
A-9146 Delta Design 1906872-501 Input Sensor Board PCB Used Working 3
A-9147 Nikon 4S018-852-3AN BD92A Detector Board VME Card PCB DPR-LS22 1-677-707-14 New 1
A-9167 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 1
A-9167 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 4
A-9167 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 3
A-9167 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 6
A-9167 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 1
A-9167 Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 2
A-9168 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working 1
A-9168 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working 1
A-9168 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working 1
A-9169 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. H Used Working 1
A-9170 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working 1
A-9170 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working 1
A-9171 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Used Working 3
A-9172 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working 1
A-9172 Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working 1
A-9174 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. E Used Working 4
A-9175 Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. F Used Working 1
A-9177 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working 1
A-9177 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working 3
A-9177 Delta Design 1906876-501 Output Driver PCA Board PCB Used Working 3
A-9178 Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working 1
A-9179 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. E Used Working 1
A-9181 Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working 1
A-9184 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working 2
A-9184 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working 1
A-9184 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working 1
A-9184 TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working 1
A-9189 Delta Design 1935860-501 PXI-TC Interface Board PCB 1935859-401 Used Working 3
A-9193 Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used 1
A-9193 Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used 1
A-9209 SMC 2TP-2B575 Thermo-Con Operator Panel PCB INR-244-244T-670 Used Working 1
A-9226 Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working 1
A-9226 Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working 1
A-923 KV Automation 4022.480.63152 Reticle Manifold Nikon Used Working 1
A-9247 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working 2
A-9247 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working 1
A-9247 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working 2
A-9247 Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working 1
A-9252 TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working 2
A-9256 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working 2
A-9256 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working 2
A-9256 TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working 1
A-9260 TMP Shimadzu TMP-3203LMC-K1 Turbomolecular Pump Used Tested Working 1
A-9275 Shinko SBX93-100003-C1 LPCN-1A-1 Connection Board PCB SBX08-000008-11 Used 2
A-9321 TEL Tokyo Electron 3D80-050031-V3 ECC2 Controller EC RACK E280-000036-12 Used 2
A-9322 TEL Tokyo Electron 3D80-050031-V1 ECC2 Controller EC RACK E280-000036-12 Used 1
A-9337 Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 TEL T-3044SS Used 1
A-9339 Entegris SB300-06EN5-MAC 300mm FOUP Front Opening Unified Pod SB300 New Surplus 32
A-9342 TEL Tokyo Electron 3D81-000046-V2 Interface PCB TYB61L-1/ELIF T-3044SS Used 1
A-9347 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working 2
A-9347 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working 1
A-9347 Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working 3
A-9370 Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working 1
A-9386 KLA-Tencor 1102061815000 WBI 300 (COB) Module 11301404123000 CSA Unit Used 1
A-9395 AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used 1
A-9403 ChemWest 420518 Automated Slurry Filter Cabinet Copper Used Working 1
A-9406 Sendai Nikon Corp S58 HFE Active Temp Chiller 300mm NSR S307E Used Working 1
A-9410 Nikon 4S587-735 Motor Amplifier VCM AMP Used Working 1
A-9411 Sumitomo M28490 ZL Axis Linear Lift Elevator ZLaxisVCM1 NSR-S307E 300mm DUV Used Working 2
A-9412 Sumitomo M28491 ZR Axis Linear Lift Elevator ZRaxisVCM1 NSR-S307E 300mm DUV Used 2
A-9413 Sumitomo M28492 ZB Axis Linear Lift Elevator ZBaxisVCM1 NSR-S307E 300mm DUV Used 2
A-9414 Yaskawa 4K179-460 Linear Motor Assembly XELM-8DNNQX71 NSR-S307E 300mm DUV Used 3
A-9415 Yaskawa XELM-8DNNQZ71 Z Axis Motor Assembly 4K179-464 NSR-S307E 300mm DUV Used 1
A-9416 Yaskawa XELM-8DNNQY71 Y axis Motor Assebmly 4K179-462 NSR-S307E 300mm DUV Used 1
A-9417 Yaskawa XELM-8DNNQY72 Y Axis Motor Assembly 4K179-461 NSR-S307E 300mm DUV Used 1
A-9419 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-3 NSR-S307E 300mm DUV As-Is 1
A-9420 Yaskawa ULVI-30-NQ11 Linear Lift Elevator 4K196-818-1 NSR-S307E 300mm DUV Used 9
A-9420 Yaskawa ULVI-30-NQ11 Linear Lift Elevator 4K196-818-1 NSR-S307E 300mm DUV Used 6
A-9421 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E 300mm DUV As-Is 1
A-9422 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Sheared Pin As-Is 1
A-9423 Kawasaki NS110C-B001 Chuck Box Robot 4K192-238-4 NSR-S307E DUV Cut Cables As-Is 1
A-9424 Sumitomo M28488 YL Axis Linear Lift Elevator YLaxisVCM1 NSR-S307E 300mm DUV Used 1
A-9425 Sumitomo M28489 Linear Lift Elevator YRaxisVCM1 NSR-S307E 300mm DUV Used 1
A-9426 Sumitomo M28487 Linear Lift Elevator XaxisVCM1 NSR-S307E 300mm DUV Used 1
A-9427 Asahi 752NK-T1 ERG-Box 4K191-818 Nikon NSR-S307E 300mm DUV Used 14
A-9427 Asahi 752NK-T1 ERG-Box 4K191-818 Nikon NSR-S307E 300mm DUV Used 6
A-9428 Asahi 752NK-T2 ERG-Box 4K197-784 Nikon NSR-S307E 300mm DUV Used 1
A-9428 Asahi 752NK-T2 ERG-Box 4K197-784 Nikon NSR-S307E 300mm DUV Used 14
A-9429 Yaskawa XU-DV9020V Linear Motor Amplifier 4S587-408-2 NSR-S307E 300mm DUV Used 1
A-9429 Yaskawa XU-DV9020V Linear Motor Amplifier 4S587-408-2 NSR-S307E 300mm DUV Used 4
A-9465 Corman Technologies CT-N800 CorNet ARCNET Diagnostic Hub 8-Port Used Working 1
A-9466 Corman Technologies CT-N806 CorNet ARCNET Diagnostic Hub 8-Port Used Working 1
A-9484 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used 4
A-9484 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used 2
A-9484 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used 1
A-9484 TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used 1
A-9508 Nikon 4S008-114 Processor Board PCB ALGAF-P/A-X4+ Used Working 1
A-9517 Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working 3
A-9517 Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working 1
A-9518 Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working 1
A-9519 Nikon 4S008-052-C Processor PCB Board X4-AIS A-554 NSR Series Used Working 1
A-9520 Nikon 4S013-482 Interface Board PCB STGX42B Used Working 1
A-9522 Nikon 4S013-393 Interface Connector Board STGX42A PCB Used Working 1
A-9537 Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL-THERMO Damaged Connector As-Is 1
A-9538 JAE KT000983 6 Axis Vibration Measurment Unit Nikon 4S587-588 NSR-S307E DUV Used 4
A-9540 Nikon 4B043-726 Magnetic Linear Rail Assembly NSR-S307E 300mm DUV Used Working 1
A-9541 Nikon 4B043-727 Magnetic Linear Rail Assembly NSR-S307E 300mm DUV Used Working 1
A-9542 Nikon X88Z1-0001-D Linear AC Servo Motor Rail 4S604-212-3 NSR-S307E DUV Used 5
A-9543 Nikon 4B043-709 Linear Rail Assembly NSR-S307E 300mm DUV Scanning System Used 2
A-9544 Nikon 4B043-710 Linear Rail Assembly NSR-S307E 300mm DUV Scanning System Used 2
A-9549 Nikon 4S013-510 SPAIFX4B Board NSR-S307E Scanner Used Working 1
A-9550 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working 1
A-9550 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working 2
A-9550 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working 1
A-9550 Nikon 4S008-050 Power Amplifier MIS-POWAMPX4 Board NSR-S307E Used Working 1
A-9601 Agilent Z4381-68001-23-0433-00003 Phase Detector PCB Z4381A VME NSR-S307E Used 1
A-9604 Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S307E Used Working 10
A-9605 Agilent Z4208-68001-23-0645-00010 Controller PCB Z4208B VME Card NSR-S307E Used 1
A-9606 Nikon 4S019-288-1 Laser Interface VME Card IFSIGCOR NSR-S307E 300mm DUV Used 1
A-9607 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 VME NSR-S307E Used 3
A-9608 Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S307E Used 1
A-9609 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S307E Used Working 1
A-9610 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working 1
A-9610 Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working 1
A-9617 Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S205C Used Working 11
A-9617 Agilent 10898-68002 Dual Laser Axis PCB 10898A VME NSR-S205C Used Working 10
A-9619 Agilent Z4381-68001-23-0421-00015 Phase Detector PCB Z4381A VME NSR-S205C Used 1
A-9619 Agilent Z4381-68001-23-0421-00015 Phase Detector PCB Z4381A VME NSR-S205C Used 1
A-9622 Agilent Z4208-68001-01-0306-00199 Controller PCB Z4208 VME NSR-S205C Used 1
A-9623 Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working 1
A-9623 Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working 1
A-9624 Sony 1-675-992-13 Laserscale PCB DPR-LS21 VME Card EP-GW NSR-S205C Used Working 1
A-9624 Sony 1-675-992-13 Laserscale PCB DPR-LS21 VME Card EP-GW NSR-S205C Used Working 3
A-9624 Sony 1-675-992-13 Laserscale PCB DPR-LS21 VME Card EP-GW NSR-S205C Used Working 3
A-9640 AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working 1
A-9646 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working 1
A-9646 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working 3
A-9646 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working 3
A-9646 Nikon 4S015-119 Processor Board PCB NK386SX4 NSR-S307E DUV 300mm Used Working 2
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 1
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 8
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 5
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 1
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 4
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 5
A-9647 Nikon 4S015-173-C Processor Board PCB NK-C304-40 NSR-S307E DUV 300mm Used Working 5
A-9648 Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used 2
A-9648 Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used 1
A-9648 Nikon 4S018-884-A Interface Board PCB C30-CPCI-IC NSR-S307E DUV 300mm Used 1
A-9649 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working 1
A-9649 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working 1
A-9649 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working 1
A-9649 Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm Used Working 1
A-9650 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used 4
A-9650 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used 2
A-9650 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used 2
A-9650 Nikon 4S008-043-A Interface Board PCB ALG-NAL NSR-S307E DUV 300mm Used 3
A-9651 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working 4
A-9651 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working 2
A-9651 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working 1
A-9651 Nikon 4S018-713-1 Interface Board PCB RASIG NSR-S307E DUV 300mm Used Working 4
A-9652 Nikon 4S001-061 Power Supply Board PCB 518NB2-010 NSR-S307E DUV Used Working 1
A-9652 Nikon 4S001-061 Power Supply Board PCB 518NB2-010 NSR-S307E DUV Used Working 1
A-9652 Nikon 4S001-061 Power Supply Board PCB 518NB2-010 NSR-S307E DUV Used Working 2
A-9653 Nikon 4S018-716 Relay Control Board PCB OPDCTRL3 NSR-S307E DUV 300mm Used 1
A-9654 Nikon 4S001-060 Power Supply Board PCB DDP-024-A NSR-S307E DUV Used Working 2
A-9654 Nikon 4S001-060 Power Supply Board PCB DDP-024-A NSR-S307E DUV Used Working 1
A-9655 Nikon 4S001-082 Power Supply Board PCB DDP-047-A NSR-S307E DUV 300mm Used 3
A-9655 Nikon 4S001-082 Power Supply Board PCB DDP-047-A NSR-S307E DUV 300mm Used 1
A-9655 Nikon 4S001-082 Power Supply Board PCB DDP-047-A NSR-S307E DUV 300mm Used 2
A-9656 Nikon 4S015-265 Processor Board PCB AGPCi7500 NSR-S307E DUV 300mm Used Working 1
A-9657 Nikon 4S013-497 Processor Board PCB DSP-INF NSR-S307E DUV 300mm Used Working 1
A-9658 Nikon 4S018-935 Processor Board PCB EPDRVX4-MOPT NSR-S307E DUV 300mm Used 4
A-9659 Nikon 4S015-214 Processor Board PCB NK-C446-OPT NSR-S307E DUV 300mm Used Working 1
A-9660 Nikon 4S019-136 Processor Board PCB RMDRVX4B NSR-S307E DUV 300mm Used Working 4
A-9660 Nikon 4S019-136 Processor Board PCB RMDRVX4B NSR-S307E DUV 300mm Used Working 1
A-9661 Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working 2
A-9661 Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working 1
A-9662 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S307E DUV 300mm Used 3
A-9662 Nikon 4S015-164 Processor Control Board PCB NK-C441-1 NSR-S307E DUV 300mm Used 2
A-9663 Nikon 4S019-289 Processor Control Board PCB AFDRVX4B NSR-S307E DUV 300mm Used 1
A-9664 Nikon 4S015-192 Processor Board PCB NK-C44-60S NSR-S307E DUV 300mm Used Working 3
A-9664 Nikon 4S015-192 Processor Board PCB NK-C44-60S NSR-S307E DUV 300mm Used Working 2
A-9665 Nikon 4S019-155 Interface Control Board PCB WTDRVX4B NSR-S307E DUV 300mm Used 1
A-9666 Nikon 4S019-154 Processor Control Board BLDRVX4B NSR-S307E DUV 300mm Used 1
A-9667 Nikon 4S019-153 Relay Interface Board PCB WSDRVX4B NSR-S307E DUV 300mm Used 2
A-9667 Nikon 4S019-153 Relay Interface Board PCB WSDRVX4B NSR-S307E DUV 300mm Used 1
A-9668 Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used 3
A-9668 Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used 3
A-9669 Nikon 4S019-152 Relay Interface Board PCB RSDRVX4B NSR-S307E DUV 300mm Used 2
A-9669 Nikon 4S019-152 Relay Interface Board PCB RSDRVX4B NSR-S307E DUV 300mm Used 1
A-9671 Nikon 4S018-750 Relay Control Board PCB SPIOX4 NSR-S307E DUV 300mm Used Working 1
A-9671 Nikon 4S018-750 Relay Control Board PCB SPIOX4 NSR-S307E DUV 300mm Used Working 1
A-9672 Nikon 4S015-257 Processor Control Board PCB NK386SX6 NSR-S307E DUV 300mm Used 1
A-9691 Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B Used Working 1
A-9692 Nikon 4S587-470-3 Linear Motor Controller SPA452B WYR NSR-S307E DUV Used Working 3
A-9692 Nikon 4S587-470-3 Linear Motor Controller SPA452B WYR NSR-S307E DUV Used Working 4
A-9694 Nikon 4S588-064 Linear Motor Controller SPA454C MSCL NSR-S307E DUV Used Working 1
A-9694 Nikon 4S588-064 Linear Motor Controller SPA454C MSCL NSR-S307E DUV Used Working 1
A-9695 Nikon 4S588-065 Linear Motor Controller SPA454D MSCR NSR-S307E Used Working 1
A-9696 Nikon 4S588-064 Linear Motor Controller SPA454C 4S013-373-2 NSR-S307E DUV Used 1
A-9696 Nikon 4S588-064 Linear Motor Controller SPA454C 4S013-373-2 NSR-S307E DUV Used 1
A-9697 Nikon 4S588-472-2 Linear Motor Controller SPA454B MSCR NSR-S307E DUV Used 1
A-9697 Nikon 4S588-472-2 Linear Motor Controller SPA454B MSCR NSR-S307E DUV Used 1
A-9699 Nikon 4S587-468-2 Linear Motor Controller SPA451A WX NSR-S307E DUV Used Working 1
A-9700 Nikon 4S588-087-1 Linear Motor Controller SPA355C RF NSR-S307E DUV Used Working 2
A-9709 TEL Tokyo Electron HA-009 Digital In Out Board EXT DIO #02 PCB Used Workin 2
A-9711 Jenoptik Infab 013501-083-17B Interface Board PCB Brooks 013501-090-17 Used 5
A-9724 Nikon 4S003-028 Relay Resistor Board PCB DCMD-L2 NSR-S306C Used Working 1
A-9739 Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used 2
A-9739 Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used 1
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 11
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 18
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 13
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 9
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 5
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 9
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 14
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 1
A-9743 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 2
A-9744 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used 2
A-9744 TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used 4
A-9748 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working 6
A-9748 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working 4
A-9748 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working 3
A-9749 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working 1
A-9749 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working 1
A-9749 Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working 2
A-9752 Mean Well PD-2512 Power Supply PCB PD-25-R3 Reseller Lot of 2 Used Working 1
A-9759 Delta Design 1941692502 Pick and Place Interface Board PCB Rev. F V1.47 Used 1
A-9770 Yamatake C40L6D0AS050D0 SDC40L Digital Indicating Controller SDC40 Used Working 8
A-9825 Nikon 4S588-087 Linear Motor Controller SPA355B 4S013-374-3 NSR-S307E DUV Used 1
A-9826 Nikon 4S588-087 Linear Motor Controller SPA355B 4S013-374-2 NSR-S307E DUV Used 1
A-9827 Nikon 4S588-087 Linear Motor Controller SPA355C 4S013-374-2 NSR-S307E DUV Used 1
A-9828 Nikon 4S587-624 AVIS1 ERG AMP SEA141A NSR-S205C Step-and-Repeat Used Working 3
A-9829 Nikon 4S065-531 Control Module LZR-OPT-2 NSR-S620D ArF Immersion Used Working 1
A-9830 TCE IZU 4S064-957 Power Supply Nikon NSR-S307E DUV Used Working 1
A-9830 TCE IZU 4S064-957 Power Supply Nikon NSR-S307E DUV Used Working 4
A-9843 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B Step-and-Repeat Used Working 1
A-9843 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B Step-and-Repeat Used Working 2
A-9851 Agilent 10897-60002 Laser Axis Control PCB Card Rev. C 10897B VMEbus Nikon Used 7
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 1
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 2
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 1
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 1
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 1
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 1
A-9852 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Y-Axis NSR-S204B Used 1
A-9853 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used 1
A-9853 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used 2
A-9853 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used 1
A-9853 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 X-Axis NSR-S204B Used 1
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 1
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 2
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 1
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 1
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 2
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 1
A-9855 Sony 1-675-992-13 Laserscale Interface Board PCB DPR-LS21 Z-Axis NSR-S204B Used 1
A-9856 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working 2
A-9856 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working 1
A-9856 Nikon 4S001-090 Power Supply PCB Board TDK MSE182P NSR-S204B Used Working 1
A-9866 Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S205C Used 1
A-9868 Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working 2
A-9868 Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working 1
A-9873 Nikon 4S018-922-B Control Board PCB IU-DRV1-X4P NSR-S307E Used Working 1
A-9880 Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working 3
A-9892 Nikon 4S019-461 Processor Card PCB BSA-OTH CAB03NK037 NSR Used Working 2
A-9893 Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036 Used Working 2
A-9894 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used 1
A-9894 Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used 1
A-9895 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used 1
A-9895 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used 1
A-9895 Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used 1
A-9896 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used 1
A-9896 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used 1
A-9896 Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used 1
A-9900 Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working 5
A-9900 Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working 5
A-9902 Nikon 4S018-867 Proccesor Control Card PCB PPD3X4-I/F NSR 4S015-227 Used Working 1
A-9903 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used 1
A-9903 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used 2
A-9903 Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used 2
A-9904 Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working 1
A-9904 Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working 1
A-9906 Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used 1
A-9906 Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used 2
A-9908 Nikon 4S001-065 Power Supply Card PCB MSE182C NSR Used Working 2
A-9910 Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working 1
A-9910 Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working 2
A-9910 Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working 1
A-9911 Nikon 4S014-178 Linear Pulsemotor Control Card PCB ULYCNT NSR-S307E DUV Used 1
A-9912 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working 1
A-9912 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working 3
A-9912 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working 1
A-9912 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working 2
A-9912 Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working 1
A-9918 Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working 1
A-9918 Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working 1
A-9919 Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working 1
A-9919 Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working 1
A-9920 Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion Used 2
A-9921 Nikon 4S001-142 Power Supply Relay Card PCB AFX8PW NSR-S620D Used Working 2
A-9923 Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working 1
A-9926 Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working 1
A-9926 Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working 1
A-9927 Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D Used Working 4
A-9930 Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working 3
A-9956 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working 11
A-9957 Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working 10
A-9965 Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator TEL Tokyo Electron ACT12 Used 2
A20419 MKS Instruments 621C-02TBFHV Baratron Pressure Transducer USED 1
A20560 FESTO D6P-40-810-PPVA-B LINEAR DRIVE USED 1
A21447 Kensington Automation WFH4C-TT/SR/HS ROBOT USED 1
A21767 Horiba STEC SMT-2000 Mass Flow Controller NEW 2
A21801 Lam Research 810-017031R0004 ADIO A0 PCB Card Rev. B Refurbished 2
A22169 Brooks Automation 6600-17 ROBOT (Semitool) USED 1
A22170 G&L PUMP 2SVC1G2F0H PUMP WITH BALDOR MODEL CM3155 MOTOR USED 1
A22828 Persy's Engr 39-A Shutter Paddle Moly 3
A23436 METRICON 2010-LT1 Melles Griot NeNe Laser O5-LHP-488-339 NEW 1
A23508 Micro Pump L18754 0100 PUMP HEAD W/RYTON GEARS USED 1
A23520 K-PATENTS PR-03-A62-HSS Sensor with 10-32 Port for N2 Purge USED 1
A23520 K-PATENTS PR-03-A62-HSS Sensor with 10-32 Port for N2 Purge USED 3
A23522 MICROPUMP WE5002/ICS PVDF WET END REPL. Part for 70900-87 USED 1
A24069 Watlow DB2C-2060-C0S0 Power Supply Reseller Lot of 4 Used Working 1
A24074 EAO 31-810.005 Alarm Buzzer Switch Reseller Lot of 13 New Surplus 1
A24078 Swagelok 6L-LD8-BBXX Diaphragm Valve Semitool 72015-20 Reseller Lot of 3 New 2
A24144 Cutler-Hammer CE15RN3 AC Magnetic Contactor Series A1 Used Working 2
A24156 Malema M60 Flow Switch M60-T21-01-005 M60-6*T-SS-SP ASM 70961-25 Lot of 10 Used 1
A24157 Omron E2E-X1-E1 Inductive Level Sensor E2E ASM 73018-05 Reseller Lot of 26 New 1
A24183 Futurestar 400-015 Digital Flow Meter Sensor ASM 72056-51 Reseller Lot of 10 New 1
A24191 METTLER TOLEDO 61326-10 INPRO 7202 Inductive Conductivity Sensor 7200 Series NEW 1
A24192 ION 4210un Inline Ultra Clean Nitrogen Ionizer w/Isostat Technology NEW 2
A24193 Allied Motion T61105-59 Motor Brushless DC Servo NEW 2
A24347 A01 7011 Cvr. Arm HSG, RDC HGT w/Align P/N 715-021771-002 1
A25730 Powerware PW5119 1000 Universal Power Supply 05144032-5501 New Surplus 1
A25891 PRECISION FLOW DEVICES 401 Mass Flow Controller USED 1
A26707 USHIO HB-251B/A-AF/HB-25103BY Lamp Housing & Controller 1
A26717 Ushio Control Unit HB-25103BY-C 2
A26790 ESI ES-2002 Nebulizer 100Ul NEW 2
A26795 White Knight CP20-150 Shuttle Adapter for AP200 New 2
A26803 Air Products Pigtail for B2H6/N2 cabinet 809-470790604A 2
A26808 Schumacher 1495-3174 ELOI Overlay NEW 1
A26809 Air Products 287-607455 Redundant Power Supply Distribution Board Assy. USED 1
A26811 Air Products 1495-3154A Inlet Pigtail Keyed 1/2in FVCR New Surplus 2
A26812 ASM Advanced Semiconductor Materials 2103-0397 Bulk Cabinet Spill Cable 4503427 New Surplus 1
A26813 ASM 122972 Right Pneumatic Solenoid Harness CG400 New Surplus 1
A26814 Sensor Technics 839-607828 Pressure Transducer SQ00503 Reseller Lot of 2 New 1
A26816 ASM Advanced Semiconductor Materials 2103-3615 Cabinet Spill Cable 4500642 New Surplus 3
A26831 Air Products 809-470313915A Process Out Stick SF6 O2/He CHF3 CF4 New 3
A26834 Air Products 0010-30002 Plis Interface Box USED 3
A26852 MICRONIX PV-1648 Rev.3 I/0 board, w/16 inputs & 48 digital outputs NEW 2
A26853 Air Products 809-807198 Surge Protection Kit New Surplus 2
A26855 ARCOM AIM104-ANALOG-I/O I/O Board, w/standoffs 16 analog, 8 digital NEW OTHER 2
A26860 SMC VV3Q11-ULB970194-10 Pneumatic Manifold ASM 287-606437 Lot of 2 New 1
A26871 Air Products 809-607699 Relay/Solenoid Digi. output board NEW 2
A26873 Air Products 809-47031395A Process Out Stick N2O New Surplus 3
A26874 Air Products 14295 6 System I/O PCB AP14295 6 USED 1
A26875 Air Products 287-607997 Monochrome Display Kit NEW 2
A26878 Air Products 1495-3104A CG Pigtail RES-A-OUTLET 1/4 MVCR New Surplus 2
A26881 Air Products 1491-3113-1A Manifold, Main SG 100 NEW 1
A26883 White Knight AP200 Rebuild Kit RBAP200-1 3
A26884 Entegris Pressure Transducer 41501-110G-F04-B12-A-S3 2
A26889 Fujikin FPR-71-19.05-CJN Valve MO1052644UFV056 Lot of 3 New 1
A26897 Control Concepts IE-110 Active Tracking Filter Islatrol Elite New Surplus 1
A26939 White Knight AP-200 Pump Rebuild Tool Set KC-AP20-170 3
A26949 Infineion Technologies Valve Control Box KC-VCB 1
A26952 Allen-Bradley 32 Point Sourcing Input Card KC-1746-IB32 1
A26953 Lam Research 843-022046-001 Kit, crtsy, cal, te NEW 2
A26955 Lam Research 843-022046-001 REV B Kit, crtsy, cal, te NEW 2
A26956 Air Products 46635 2Cyl AXO-AP1510SHM-HBr,HCl NEW 1
A27005 Air Products 214463 2Cyl AXO-AP1402TSMA-WF6 214463 NEW 2
A27006 Air Products 214468 Gas Line Assembly - PCV 1 Press Reg NEW 1
A27008 Air Products 807-470626502A 2Cyl AXO-AP1510SM-NH3 NEW 1
A27050 Lam Research 810-017031R0004 ADIO A0 PCB Card Rev. C Refurbished 2
A27952 Daeil Systems BE-1000L Flexible Bellows NW50 Lot of 6 Used Working 7
A27952 Daeil Systems BE-1000L Flexible Bellows NW50 Lot of 6 Used Working 14
A27953 Daeil Systems NW100B Bellows ID 3-3/4" Length 9-3/4" Lot of 15 Used Working 15
A27953 Daeil Systems NW100B Bellows ID 3-3/4" Length 9-3/4" Lot of 15 Used Working 12
A27953 Daeil Systems NW100B Bellows ID 3-3/4" Length 9-3/4" Lot of 15 Used Working 4
A27966 UNIT Instruments UFC-1661 MFC MASS FLOW CONTROLLER 750cc SC14 PID FW: 1.02 New 2
A27967 Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM C4F8 New 3
A27968 Aera FC-D980C MFC Mass Flow Controller 200SCCM Ar New 2
A27969 Aera FC-D980C MFC Mass Flow Controller 10SCCM O2 New 2
A27970 Aera FC-D980C MFC Mass Flow Controller 50SCCM C5F8 New 1
A27974 TEL Tokyo Electron Stainless Steel Cup Kit ACT 8 Used 4
A27977 Lytron MCS MCS10603-M01 MODULAR COOLING SYSTEM Used 2
A27979 TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S) Used Working 5
A27980 ATMI BRC-22A INTEGRATED REFILL CONTROLLER USED 1
A27982 Omron CV500-BC101 Base Unit 10 Slot USED 1
A27984 TERADYNE 829-660-00 ROUND CIRCUIT BOARDS USED 3
A27989 TERADYNE 829-661-00 ROUND CIRCUIT BOARDS USED 2
A27990 KoMiCo 020-518-ID200 Upper Sub Electrode Used Working 1
A27992 Fuji Electric AH22 Command Switch ZRB3 ZWM ZWH Lot of 29 New 1
A27998 KUMKANG QUARTZ KK20050628021 SHADOW RING 8in FLAT (MXP) USED 2
A28011 DS Techno Company S3T40542 UPPER ELECTRODE, 10T NEW 2
A28015 AMAT Applied Materials 0010-10328 SHELL ASSY, 200MM, OXIDE ESC USED 5
A28019 TEL Tokyo Electron 1885-022352-17 Electron Bottom, PC NEW 1
A28021 AMAT Applied Materials 0040-38515 PS-P-ESC (KAP-PS08F) NEW OTHER 1
A28026 Fujikin LAPE76000 VALVE, PNEU DIAPH, 140 PSIG, 1/4 NEW 1
A28027 Fujikin LAPFV000 VALVE, MNL, DIAPH, 1/4 CR, 1/4 TURN NEW 1
A28030 AMAT Applied Materials 0050-14645 TEOS Supply Line New Surplus 2
A28032 AMAT Applied Materials 0050-26666 DPD + Dump Weldment Foreline New Surplus 3
A28034 AMAT Applied Materials 0050-33171 Weldment Angle Pipe New Surplus 1
A28053 AMAT Applied Materials 0150-21033 CABLE ASSY, N2 HEATER NEW 1
A28055 AMAT Applied Materials 0150-21025 CABLE ASSY, BAKEOUT NEW 1
A28056 Brooks Automation 001-1984 Robot Teach Pendant Used Working 1
A28057 AMAT Applied Materials 0150-21030 CABLE ASSY, HEATER NEW 1
A28059 AMAT Applied Materials 0150-21026 CABLE ASSY, HEATER NEW 1
A28063 AMAT Applied Materials 0200-01086K SST Focus Ring (Modified) New 2
A28064 AMAT Applied Materials 0150-21029 CABLE ASSY, CH-4, BAKEOUT NEW 1
A28065 AMAT Applied Materials 0150-21028 CABLE ASSY, CH-3, HEATER NEW 1
A28068 AMAT Applied Materials 0151-21032 CABLE ASSY, CONVENIENCE OUTLET NEW 1
A28075 AMAT Applied Materials 0150-21024 CABLE ASSY, CH-1, HEATER NEW 1
A28131 VAT 81105-01-117 Gate Valve Housing AMAT 0190-09436 Reseller Lot of 3 Used Working 1
A28138 STEC SEC-7440MC-302 Mass Flow Controller MFC 100SCCM CHF3 Used Working 2
A28149 Tosoh 805-302-QC-62R-290 Ceramicoat Bell Jar PC-II Used Working 1
A28150 Tosoh SET-805-016-AG Dished Ring Used Working 1
A28151 Tosoh 805-055-AG-62A01 Aluminum Vectra Bowl Shield Used Working 1
A28154 Tosoh 805-140-TM-62-192 Pedestal PC-II w/Fit Used Working 1
A28155 Tosoh 805-058-SG-62 SS Lower Pedestal Cover Used Working 1
A28156 Tosoh 805-140-TM-62R-192 Pedestal PC-II w/Fit Used Working 1
A28157 Tosoh 805-076-SG-62R-16 SST Shutter Disc Used Working 1
A28159 Tosoh 805-240-SA-62R-170 Dark Space Shield Used Working 1
A28160 AMAT Applied Materials 0200-00177 INSERT RING, SILICON, 200MM FLAT, SI/QTZ NEW 3
A28163 AMAT Applied Materials 0010-20422 ENDURA J SHIELD TREATMENT 8in USED 1
A28185 AMAT Applied Materials 0020-26822-A Shield Low Knee Used Working 1
A28191 AMAT Applied Materials 0620-02279 Power Cord 18AWG 115VAC 24" New Surplus 1
A28196 Tosoh SET-805-262KR-TG 8" HOT AL Kit Endura 5500 Used Working 1
A28197 Tosoh SET-805-462KR-AA Adapter Shield WB Tin Used Working 1
A28219 Unknown E17324100 FOR USE ON VISTA HC ONLY USED 1
A28224 MKS Instruments 9515-0104 Rev. A Heated Angle Pipe WCSH-0120-0000 Used Working 2
A28234 Ebara 280953 EMERGENCY OFF SWITCH USED 1
A28263 AMAT Applied Materials 310651R02 SPACER RING USED 1
A28269 Seiko Seiki 17-97ZT0003 CIRCUIT BOARD USED 1
A28270 Seiko Seiki 17-942T0013 CIRCUIT BOARD USED 1
A28271 Seiko Seiki P005Y008 Z831-3S1 CIRCUIT BOARD USED 1
A28276 Edwards N03615000 CABLE 208V, PO17 KIT NEW 1
A28280 TEL Tokyo Electron CT2910-427302-11 Pipe Support W0298 Reseller Lot of 31 New 1
A28282 TEL Tokyo Electron 2910-427303-11 Holder Tube Reseller Lot of 16 New Surplus 1
A28283 TEL Tokyo Electron 2910-353438-11 Holder Tube Lot of 15 New Surplus 1
A28287 Spears 857-012C 1.25" Viton Union Socket Reseller Lot of 40 New Surplus 1
A28295 AMAT Applied Materials 0020-25199 Pio Sensor Cover Nut Lot of 8 New Surplus 2
A28303 AMAT Applied Materials 0021-20199 SPACER SHIM, G TYPE, ENCAPSULATED MAGNET NEW 1
A28304 TEL Tokyo Electron MD-9253092 COVER ASSY UTILITY NEW 2
A28306 Nor-Cal NW-25B-100 Bored Flange NW-25 x 1" Reseller Lot of 40 New Surplus 1
A28308 Nor-Cal NW-50B-100 Bored Flange NW-50 x 1" Reseller Lot of 72 New Surplus 2
A28318 AMAT Applied Materials / M.E.C. Tech 0200-00177 INSERT RING SI/QTZ 200MM SILICON FLAT USED 2
A28320 AMAT Applied Materials / SOLMICS CO. 0200-00177 "INSERT RING SI/QTZ 200MM SILICON FLAT USED 1
A28321 AMAT Applied Materials 0200-10447 SNT INSERT RING NEW 3
A28322 AMAT Applied Materials / KumKang Quarts Co. 0200-00849 L SHAPED SHADOW RING 63RA E-MAX USED 2
A28323 AMAT Applied Materials KS0201 / KS0202 / KS0203 8" ADVANCED 101 TIN KIT ENDURA USED 1
A28324 AMAT Applied Materials KMC-HSMA-HE ENDURA 5500 8" ESC TYPE HOT ALUMINUM Kit  USED 1
A28326 Teradyne 885-206-00 Rev. L Test Probe Cable Used Working 1
A28328 Teradyne 876-142-00 Hood Fan New Surplus 1
A28331 ADCMT 763100386 7451T DIGITAL MULTIMETER, NO POWER USED 1
A28333 BoBoo Hi-Tech Vespel Focus Ring 200mm New 6
A28335 ATMI CAMP-000235 CANISTER NEW 1
A28336 Applied Materials 0200-35335 Ceramic Capture Ring 11
A28341 AMAT Applied Materials 0020-39137 B Ceramic Dome DPS Poly Refurbished 1
A28342 Applied Materials 0200-10325 Ceramic Dome DPS Metal 15
A28354 Applied Materials S3M21152 Poly RG FOCUS RING Used 4
A28355 APPLIED MATERIALS 0200-39141 FOCUS RING Used 14
A28358 Applied Materials 0021-04319 TV Liner EMAX Kit Clean Used 4
A28360 AMAT Applied Materials Ceramic Injector EMAX Kit Lot of 58 New Surplus 1
A28361 APPLIED MATERIALS EMAX SLIT LINER EMAX KIT New 14
A28363 APPLIED MATERIALS SET-E832-001-Q-62 SPACER PIPE, QUARTZ, EMAX New 11
A28364 APPLIED MATERIALS SHADOW RING QUARTZ New 11
A28365 AMAT Applied Materials 0200-10415 QUARTZ FOCUS RING MXP+ Poly Kit USED 10
A28366 AMAT Applied Materials 0200-00410 QUARTZ GDP SUPER E OXIDE KIT USED 23
A28367 AMAT Applied Materials 0200-10447 SHADOW RING NEW 6
A28368 AMAT Applied Materials 0200-00176 QUARTZ SHADOW RING USED 142
A28369 AMAT Applied Materials 0200-01086 MODIFIED QUARTZ FOCUS RING NEW 1
A28370 AMAT Applied Materials S3M21437 SHADOW RING USED 1
A28371 MSR Material Support Resources 0307082-306 Flame Polish Shadow Ring Refurbished 1
A28372 Lam Research 715-11986-018 ASSY ELECTRODE, ESC 8" USED 1
A28373 Lam Research 718-094523-282 ASSY ELECTRODE, ESC 8" USED 3
A28374 AMAT Applied Materials 0200-39834 ESC ASSY 195MM USED 1
A28375 AMAT Applied Materials 0200-35851 POLYMIDE PEDESTAL ASSY USED 2
A28379 Aera FC-980C FLOW CONTROLLER USED 1
A28380 Liberty 10236834 Manometer Reader Cable DB15M/DB15F Reseller Lot of 94 New 1
A28386 AMAT Applied Materials 0190-40071 INTERFACE CARD USED 1
A28387 AMAT Applied Materials 0190-40072 INTERFACE CARD USED 1
A28392 Lam Research 715-495014-001 LINER TRANSITION MANIFOLD ADAPTER USED 2
A28403 MKS Instruments 328A-13114 PRESSURE TRANSDUCER USED 1
A28405 Tylan General FC-2952 PRESSURE CONTROLLER 200 SCCM USED 1
A28407 TEL Tokyo Electron S3T41205 Upper Electrode AceCo S33-960 Used Working 3
A28408 Lam Research KP00-716-330892-007 Ceramic Shower Head (PTX) Refurbished 3
A28409 DS Techno Company KMC-QD-026 SMOOTH QUARTZ DISK USED 3
A28410 APPLIED MATERIALS 0021-15670 DEPOSITION RING 8
A28411 Lam Research 1289-98-42-004 CHAMBER LINER NEW 1
A28412 Lam Research 1001867-07-03-0007 GAS INJECTION RING NEW 1
A28413 Lam Research R Edge Ring (PTX) Refurbished 1
A28415 AMAT Applied Materials LINER TV 200MM SHADOW RING QTZ USED 1
A28416 TEL Tokyo Electron 01012 Ceramic Ring Shield DRM USED 3
A28418 Lam Research 716-330915-001 RING Insulator CERAMIC USED 1
A28419 TEL Tokyo Electron Limited Quartz Ring Holder SCCM Used Working 1
A28420 TEL Tokyo Electron 804090752 CERAMIC RING USED 3
A28440 TEL Tokyo Electron 1805-320142-11 INTEGRATED BASE RING USED 2
A28442 TEL Tokyo Electron B31D10-313620-11 CLAMP, UPPER NEW 2
A28443 TEL Tokyo Electron B31810-329158-11 INSULATOR UPPER NEW 2
A28444 TEL Tokyo Electron B31805-320140-11 COVER RING INNER NEW 1
A28447 TEL Tokyo Electron 1810-121991-11 PLATE UPPER NEW 2
A28448 TEL Tokyo Electron B31810-121990-11 PLATE UPPER NEW 2
A28449 TEL Tokyo Electron 1805-320140-11 COVER RING INNER USED 1
A28451 TEL Tokyo Electron B31D16-000050-11 GAS BOX UME-ARDC-PC2 NEW 1
A28452 Lam Research 716-140118-001 Focus Ring ESC 8" 2
A28453 New Lam Research 839-443215-502 Electrode Silicon L Res. Small Hole 8 1
A28455 Lam Research 715-140125-001 Lower Baffle Lot of 5 New 1
A28457 MATTSON TECHNOLOGY 544-00016-00 Plate Grid RF 4
A28458 Lam Research 716-140069-001 Upper Ceramic Insulator Ring 1
A28461 LAM 839-440562-518 ASSY, ESC, DUAL ZONE CLG 1
A28463 APPLIED MATERIALS 0270-00249 ELECTROSTATIC CHUCK COVER 4
A28467 LAM SUS-CRR-0320 RING CONFINEMENT CERAMIC 3
A28471 APPLIED MATERIALS 0400-50414 EMAX DOOR LINER 1
A28482 Hitachi 568-5521 ST.CNT. Circuit Board PCB Used 1
A28488 Lam Research 53T40618 UPPER DEPO SHIELD NEW 1
A28491 Lam Research 715-495014-001 Liner Transition Manifold Adaptor NEW OTHER 1
A28495 TEL / ACECO 1D10-202204-12 / S33-960 ELECTRODE, UPPER (Vespel Inserts) USED 1
A28503 APPLIED MATERIALS AMP-490-EX-041 CATHODE LINER SUPER E KIT 1
A28520 AMAT Applied Materials 0200-10325-P2 Ceramic Dome Used Working 1
A28525 TEL Tokyo Electron 1D10-313620-11 Upper Clamp (ME) New Surplus 1
A28535 Lam Research TA2004 4N5 GRADE TITANIUM VECTRA, SET 1 COIL 5 CUPS 5 PINS NEW 2
A28536 Lam Research 715-140124-001 Facing Plate/Electrode 715-140125-001 Lot of 3 Used 1
A28539 VAT 89387-R1 KIT VALVE ACTUATOR WITH GATE NEW 1
A28540 Aera FC-D980C MFC MASS FLOW CONTROLLER 2SLM O2 NEW 1
A28543 Daihen CMC-10 Tuning Control Unit (x2) USED 1
A28544 AMAT Applied Materials 0020-20558 Slit Valve Blank New Surplus 1
A28555 AMAT Applied Materials 0200-10027 Inner Ceramic Ring Lot of 4 New Surplus 1
A28557 AMAT Applied Materials 0200-10087 Outer Ceramic Ring Lot of 3 Refurbished 1
A28568 AMAT Applied Materials SET-805-762KR-AG 8" PIK PRE CLEAN II KIT NEW 1
A28570 AMAT Applied Materials 805-016-AG-62-017 8" SHIELD NEW 3
A28590 Tosoh Quartz 04813-002 BELL JAR QTZ NEW 1
A28592 AMAT Applied Materials 0010-36476 RING 8" NEW 1
A28594 AMAT Applied Materials 04080-00001 8" COHERENT TI CHAMBER KIT NEW 1
A28595 AMAT Applied Materials 04079-0001 8" COHERENT TI CHAMBER KIT NEW 1
A28596 AMAT Applied Materials 0200-27190 SHIELD UPPER NEW 1
A28602 AMAT Applied Materials 0270-03714 Dual Blade Robot Lifting Frame New 1
A28605 Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working 1
A28606 KLA-Tencor 710-658909-001 Processor PCB Card KLA XYI A Used Working 1
A28607 KLA-Tencor 710-658956-00 Mass Memory 2 PCB Card Used Working 1
A28608 KLA-Tencor 710-658807-00 VIF Board PCB Card Used Working 3
A28613 Applied Materials 0021-04319 Throttle Valve Liner 1
A28619 AMAT Applied Materials 0021-04316 Throttle Valve Flat Bottom Refurbished 5
A28621 Applied Materials 0021-04317 Flap Bottom Main Throttle Valve 4
A28622 Applied Materials 0021-04313 Throttle Valve Flat Bottom 1
A28625 TEL Tokyo Electron 1810-225156-12 Upper Plate Ring Y203 Used Working 8
A28628 Applied Materials 0200-02384 SI Insert Ring, Lapping Type 2
A28630 APPLIED MATERIALS 0090-09258 POLYMIDE PEDESTAL ASSY 1
A28634 AMAT Applied Materials 0020-19002 Door Slit Buffer New 1
A28637 Lam Research 718-098591-001 VAT 65 Paddle Pendulum Valve Gate 10
A28638 New Applied Materials 0020-35957 Saphire Window Spacer BK Regulator Platter 1
A28639 Lam Research 718-098592-001 VAT 65 Locking Ring 4
A28640 Applied Materials 0021-04312 Throttle Valve Flat Top 2
A28641 APPLIED MATERIALS S3M22110 LOCKING RING DPS POLY 6
A28642 APPLIED MATERIALS S3M22109 PADDLE, DPS POLY 8
A28643 Lam Research 715-495014-001 Chamber Liner Trans Lam 9600 DFM Kit 1
A28649 APPLIED MATERIALS 0400-50414 DOOR LINER 1
A28666 KoMiCo Depo Shield Ring Coated (DRM) Used Working 1
A28668 Lam Research 715-140126-001 Facing Upper Baffle 4
A28684 Varian E17096640 FRAME WINDOW DOOR EL NEW 4
A28691 Varian E17259990 MASK KIT VARIAN ION IMPLANT NEW 1
A28695 Swagelok JNW2FC40-5.20 Flexible Coupling KF40 New 3
A28718 Novellus Systems 04-121341-00 KIT CHAMBER HEAT SHIELD SPD-SX NEW 2
A28719 Novellus 06-262X Sapphire Plate 06-2624 06-2625 06-2626 06-2629 Lot of 7 New 1
A28720 Edwards D37215000 Vacuum Pump Flash Module Lot of 2 Used Working 6
A28720 Edwards D37215000 Vacuum Pump Flash Module Lot of 2 Used Working 4
A28750 Novellus Systems 02-147430-00 PET MODULE TEACHING JIGS USED 1
A28759 Edwards TBD PUMP HOOKUP KIT, WITH MANUAL IQ DRY PUMP KIT NEW 1
A28778 Edwards D6315020 Flash module only NEW 27
A28790 Edwards C10517490 Trapped Viton O-Ring NW50 Reseller Lot of 80 New Surplus 1
A28797 Edwards U20000953 MCM Interface module 1
A28803 Edwards D37215020 Vacuum Flash Module D37215010 Enclosure Used Working 28
A28804 Ebara 321400RE; 280365 Emergency off pump control box 1-with hookup kit NEW 1
A28833 New Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S 1
A28837 IDS International Design Services 1421MDC Display Panel Spectre 600 New 1
A28839 Optimation GCM1010 Rev. A VMB CPU Board PCB Missing Components As-Is 1
A28840 Seiko Seiki P025Z---G231-3DC Processor Board PCB Used Working 2
A28846 ACECO / TEL Tokyo Electron DS33-960 / 6P-009283-024 SHOWER HEAD 8 16-3/4" O.D. USED 1
A28847 TERADYNE 961-128-00-S POWER SUPPLY USED 1
A28848 ACECO / TEL Tokyo Electron S33-915 DRM BELLOWS COVER KIT USED 1
A28850 TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S) NEW 1
A28877 Lambda Electronics UBK13GZ-2156 Power Supply 500W Used Working 1
A28882 Power-One SPM5C1C1E1E1H1S240 Modular Power Supply 1
A28883 Astec 080-25862-1850 Power Supply Powertec 9R5-600-381-23-S1850 Teradyne Used Working 1
A28884 Cambridge 3805 Compound Balance CVD Belt CB3-94-184-21-24 12x3/16" x 301" New 1
A28888 Johnson Matthey TSQUALWDX-05635 Target DRUM FOR SPUTTER USED 1
A28891 Applied Ceramics 911-02094A Spacer Insulator (Lifter) Lot of 16 New Surplus 4
A28903 SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe Used Working 3
A28905 Lam Research / Force Computers 880-12536-101 PCB - SASI Board USED 1
A28906 Lam Research / Force Computers 880-12537-101 PCB - CPU Board USED 1
A28909 SCI BOC 5386278-001 Gas Cabinet Control Box SpecraSafe Used Working 1
A28910 SCI BOC 5386249-001 Gas Cabinet Control Box SpecraSafe As-Is 1
A28912 Lam Research 715-028552-001 RING CLAMP UPPER ELECTRODE NEW 1
A28917 Varian E11318970 Dual PFG Cage.MAX Y-TILT Upgrade Kit New Surplus 1
A28920 BOC Edwards A52844460 Interface Module 5
A28921 Novellus Systems 06-2568 CENTERING RING, 200MM PLATEN WALL ISOLATION USED 1
A28924 Novellus Systems 01-4433 TRANS SERVER Rev. C NEW 1
A28940 Novellus 02-275852-00 Gas Valve Manifold New Surplus 1
A28944 Semitool 23868-01 PCB 502 LT 300mm FOUP Door Open/Close Limit Board New 1
A28947 Air Products 839-607349C Pneumatic Air Controller ChemGuard New Surplus 1
A28960 Pfeiffer TCP600 TURBOMOLECULAR PUMP CONTROL UNIT USED 2
A28962 Swagelok SS-FJ325L32 SL32-240 BRAIDED STEEL HOSE 2" I.D. New 2
A28967 TEL Tokyo Electron J3 Cable Assembly New Surplus 1
A28968 Nikon 45061-663 DC POWER UNIT Used 1
A28969 TEL Tokyo Electron J4 Cable Assembly New Surplus 1
A28973 TEL Tokyo Electron J12 Cable Assembly New Surplus 1
A28974 Lam Research 853-331021-002 ASSY, Q/C, EDS WDO, TCP9400DFM New 1
A28975 TEL Tokyo Electron 2981-600373-13 CUI BOARD USED 1
A28979 Yokogawa 370186 CHART RECORDER LR8100E New 1
A28980 Nikon 4S017-912-A Control Board PCB PPD-CTL New Surplus 1
A28983 SEIKO SEIKI SCU-H1000C STP CONTROL UNIT STP-H1000C 1
A289892 TEL / ACECO 1D10-101475-11 / S33-1040 Baffle Plate UNITY-II DRM 200mm USED 16
A289897 KoMiCo Bellows Cover A&B (DRM) Refurbished Working 8
A289897 KoMiCo Bellows Cover A&B (DRM) Refurbished Working 1
A289898 KoMiCo Drum Kit 5 Piece Upper Electrode Depo Ring Bellows Covers Refurbished 1
A289903 Ebara 217011E Dry Pump Interface Used Working 1
A289906 Alcatel MDP5011 / H2856 32 Vacuum Pump Type MDP 5011 Ceramic USED 1
A289929 AMAT Applied Materials 0020-33810 Outer Blocker DPS-Poly Kit Lot of 4 New Surplus 1
A289936 KoMiCo Coated Depo Ring Shield (DRM) Used Working 1
A289938 TEL / ACECO 1D10-202204-12 / S33-960 Upper Electrode UNITY-II DRM 200mm USED 13
A289951 MDA Scientific ChemKey Various Gases O3 H2SO4 HCL HBr Reseller Lot of 18 Used 1
A289988 TEL Tokyo Electron 1D16-000049-11 Gas Cabinet UME-ARDC-PC1 New 1
A289989 TEL Tokyo Electron 1810-121926-12 Upper Insulator 320 New 2
A289992 TEL Tokyo Electron 1810-150010-11 Shield Box P2 G2L + Hi-Temp New 1
A289993 TEL Tokyo Electron 1810-350080-11 Box Base and Cover Set PCV P1 PCV P2 A-RDC New 1
A290000 TEL Tokyo Electron 1810-250048-11 Cover Set I/L P1 P2 A-RDC New 1
A290001 TEL Tokyo Electron 1810-250052-11 Upper Gas Block P1(A-RDC) New Surplus 1
A290002 TEL Tokyo Electron 1810-250053-11 Upper Gas Block P2(A-RDC) New Surplus 1
A290003 TEL Tokyo Electron 1D85-050321-12 Power Supply Assembly A-RDC New Surplus 2
A290004 TEL Tokyo Electron 1810-329535-11 Focus Ring New 2
A290005 TEL Tokyo Electron 1810-3500068-11 Gas L A-RDC-2E Block New Surplus 2
A290006 TEL Tokyo Electron 1810-350076-11 Float A-RDC-2E Block New Surplus 2
A290007 TEL Tokyo Electron 1810-431718-11 Gas Pipe Inlet (3.6) G2L Reseller Lot of 4 New 1
A290009 TEL Tokyo Electron 1810-329582-11 G2L Baffle Plate New Surplus 2
A290012 TEL Tokyo Electron 1810-122007-11 G2L Cooling Plate New Surplus 2
A290014 TEL Tokyo Electron 1D81-000092-1C BOARD ASSY TYB121-1/COM NEW 2
A290015 TEL Tokyo Electron 1810-122006-11 G2L UEL Body New Surplus 2
A290024 Anelva M-430HG Ionization Gauge 4
A290026 Brooks Automation TT1ENR2-1-TVS-ES-BROOKS8 Robot Teach Pendant 112017 Used 1
A290038 AMAT Applied Materials 0020-42262 MANIFOLD GAS W/MIXER EC, WXZ Rev. 002 1
A290054 Swagelok DTO-SONG3000-13AC PTU SERIES ULTRAHIGH - PURITY PRESSURE TRANSDUCER NEW 1
A290055 Swagelok PTU-S-NG 250-23AC PTU SERIES ULTRAHIGH - PURITY PRESSURE TRANSDUCER NEW 1
A290078 Swagelok SS-8BK-1C-K10 Stainless Body Kit Reseller Lot of 2 New Surplus 1
A290088 Powerspec Electronics 02-00407-01 Power Supply Module Electroglas PSMD Used 1
A290090 Parker 45100069EV High Purity Regulator Valve SQ140E1003PVXFSFMFEV New 2
A290091 EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor New Surplus 1
A290093 Ebara DVP-REM1A Dry Vacuum Pump Control Panel P-V801B Used Working 1
A290105 Tylan General FC-2952MEP5-T FLOW CONTROLLER 2900 50SCCM CF4 3
A290107 Tylan General FC-2952MEP5-T FLOW CONTROLLER 2950 50SCCM CF4 1
A290111 UNIT Instruments UFC-8100 Mass Flow Controller MFC Model 8100 10 SCCM N2 New 1
A290112 Horiba STEC SEC-7440M Mass Flow Controller MFC SEC-7440 2 SLM Ar Refurbished 1
A290114 SPM Inc. SPM-AMR-2P-G Stainless Steel & PPS Ring 8" New Surplus 8
A290116 AMAT Applied Materials 0020-23811 8" COHERENT PLATE USED 2
A290121 AMAT Applied Materials 0242-88366 Kit - Slit Liner Door, Clamp Lid, Viton/Kalrez NEW OTHER 3
A290123 Ebara 213663 Pump Control Interface Module AMAT P5000 Used Working 3
A290126 Cyberoptics 990-0306-01 Wafer Leveling System WaferSense ALS300A Used Working 1
A290150 Lam Research 839-360312-005 Adaptor TMP N2 PRG1.3K TMP New Surplus 2
A290155 Varian Semiconductor Equipment E17284870 Wafer Lift Arm End Effector Used 1
A290163 Varian Semiconductor Equipme E17089262 Shield End Adapters Lot of 3 Used Working 1
A290182 ECI Systems 04-055583-00 Remote Module Kit TW Monitor Used Working 1
A290185 Tescom 64-5061KRV30-048 VALVE New 3
A290188 Parker 45300853 Manual Regulator Valve 917 Veriflo Reseller Lot of 19 Used Working 1
A290190 Tescom 44-3264JRL1-032 Manual Regulator Valve Lot of 3 New 1
A290191 Parker 45200931EV Regulator Valve w/ Gauge SQ420E100W3PVXFS8FMF Used Working 1
A290198 Air Liquide EIN-5000-MD MONITOR ANNUNCIATOR 6
A290200 Parker Veriflo 45400076 REGULATOR 4-PORT LOT OF 2 NEW 1
A290203 AP Tech AP1402TSHMA 2PW FV4 MV4 3.70 Valve Assembly AP3510 AP64S Used Working 1
A290206 Millipore LR300 Channel Display Controller Matheson S602-0050 New 2
A290213 Parker 45600994 Diaphragm Valve 945YLP/LPFSFFFKCTECH New Surplus 1
A290225 SEMI GAS SYSTEMS GSM-468 GAS SAFETY MONITOR NEW 2
A290230 Parker PV-10-6324-00-03 Pneumatic Diaphragm Valve New 1
A290235 Millipore 70901-11 FILTER HOUSING 10" SS NEW 1
A290238 Yaskawa SGDA-04VS Servo Drive SERVOPACK Used Working 1
A290240 Emoteq BH02301-AF06-HBEE Brushless Servo Motor ASM T61105-12 New Surplus 4
A290241 Hathaway Corporation BH02301AF04HBE Brushless Servo Motor ASM T61105-2 New 1
A290245 AMAT Applied Materials 0020-09787 Electrode Ground New Surplus 6
A290260 MATTSON TECHNOLOGY 544-00023-00 CAP QUARTZ TUBE 2
A290261 Mattson Technology 544-00016-00 Plate Grid RF 1
A290269 Panasonic MSM021A1FE AC Servo Motor 1
A290276 Tegal 33-527-001 BELLOW CHUCK NEW OTHER 2
A290279 ENI 31-515-002 RF MATCH MW-10TM1 USED 2
A290280 Tegal 40-606-004 CERAMIC LINER HRE2 USED 2
A290281 Tegal CC1326-00100 STRIPPER CHAMBER USED 1
A290282 Tegal CR1324-00100 STRIPPER SOURCE USED 1
A290284 Applied Ceramics 95-00056A Quartz Cover Clamp New Surplus 1
A290285 Tegal / APPLIED CERAMICS 40-588-003B / 95-00054A INSULATOR OUTER NEW OTHER 1
A290288 Tegal 41-238-008 RF SHIELD REACT FILL NEW OTHER 1
A290291 Tegal 37-231-602 CHUCK RING USED 1
A290297 ENI MW-10-21191 MATCHWORK CONTROL UNIT USED 1
A290298 Tegal 46-952-002 STRIPPER GAS PANEL USED 1
A290305 Ceyon Technology REM125-5C RFID External Antenna EA125-C New Surplus 4
A290306 Ceyon Technology REM125 RFID Multi-Port Reader New Surplus 14
A290307 AMAT Applied Materials 0021-35989 LID PLATE, TxZ VIEW LID USED 3
A290310 Ceyon Technology TRA-5 RFID Tag Reader Arbiter New Surplus 9
A290319 AMAT Applied Materials 0040-81919 Lower Chamber DPS MEC 1
A290325 Ebara 217089A Dry Pump Interface Used Working 2
A290328 Yaskawa Electric VS2A Wafer Transfer Robot Used Working 1
A290333 Amphenol Aerospace MS3116F8-43 Connector Reseller Lot of 15 New Suplus 1
A290337 Tescom 64-2841KRV10 Regulator Valve New Surplus 1
A290340 Lam Research 715-495014-001 LINER TRANSITION MANIFOLD Chamber Liner Trans USED 15
A290342 Parker 45400638EV Manual Regulator Valve SQ420E502PFS8FMEV New 1
A290346 V-Tex V060780 Gate Valve Heated Type ROLLCAM 236x46 Refurbished 1
A290348 DONALDSON P510172-016-002 POV PURGE HOOD FILTER COMPRESS AMBIANT AIR FILTER USED 1
A290350 MKS Instruments S2H10769 Vacuum Throttle Valve Used Working 1
A290357 Parker 45800522 Manual Regulator Valve SQ60SA2PFSMMTH New Surplus 6
A290371 Aera FC-980 Mass Flow Controller MFC 2 SLM NH3 Used Working 1
A290373 Aera FC-980 Mass Flow Controller MFC 2 SLM SiH4 Used Working 1
A290374 Millipore SSC01A4BVM5S PRESSURE TRANSDUCER Lot of 2 NEW 1
A290375 Aera FC-980 Mass Flow Controller MFC 2 SLM O2 Used Working 1
A290377 STEC SEC-7440MC-302 Mass Flow Controller MFC SEC-7440 20 SCCM O2 Used Working 1
A290378 Horiba STEC SEC-7440M Mass Flow Controller MFC SEC-7440 5 SLM N2 Used Working 1
A290388 Accurate Gas Control Systems AG108 PARALLEL JACKET CONNECTION KIT NEW 1
A290390 HAKKO ELECTRONICS GD-80E0/0 MONITOR NEW OTHER 1
A290396 Parker Bayside RT90-015-021LE MultiDrive Gearhead Refurbished 1
A290402 HIS Hoffman Instrumentation PN50 Manual Valve DIN 1.4408 800 CF8M Lot of 3 2
A290406 Krom Schroder BCU 480-10.5.1LW3GBCB1/1 Burner Control Unit New 1
A290414 Parker 45100483 Regulator Valve HFR902W3PXFSFFFV Lot of 5 New 1
A290416 Parker 54014245 Manual Regulator Valve HFR902S3PX8TY Veriflo Lot of 5 New 1
A290419 DongjooAP APS65R(CW) Ball Valve Scotch Type Yoke Actuator Sy-Pa Used 3
A290421 MDA Scientific 856000 Exhaust Gas Monitor EGM Used 2
A290426 Lam Research 715-330889-002 LAM 9600 Ring Ground Chamber 5
A290434 Optimation GCM1010 VMB CPU Board PCB ASM 5267917-001 Used Working 1
A290435 Optimation GMC2501 I/O Board Rev.C 2
A290438 AFE Technologies 1060 Datapanel Interface Touch Screen Used Working 1
A290452 Axcelis 17394930 Entrance Beam Tunnel Aperture New Surplus 1
A290474 Kaijo FA Unit Remote Controller Used Working 3
A290475 Kaijo Main Unit (Drive) Remote Controller Used Working 2
A290476 Kokusai Dengyo PMC-1000 Program Loader Reseller Lot of 2 Used Working 1
A290477 Kaijo FN515-TU001 Manual Teaching Unit Omron 1
A290491 AMAT Applied Materials 0020-34017 MXP Polyimide ESC 0010-30724 0090-09299 Used 1
A290492 AMAT Applied Materials 0020-30482 Flex Coupling Reseller Lot of 3 New Surplus 1
A290495 AceCo CS33-155N Aluminum Cathode Upper Electrode Lot of 7 New 1
A290496 Lam Research 853-190023-001 ASSY, HARD DISK ,IDE W/CONTROLLER, PCB USED 1
A290496 Lam Research 853-190023-001 ASSY, HARD DISK ,IDE W/CONTROLLER, PCB USED 1
A290499 SANWA MMP10 PUMP USED 1
A290513 Blackston Ultrasonics 910217 WJ TANK NEW 1
A290514 Honeywell DGR150-6U-A000-20-US-000 DIGITAL GRAPHIC RECORDER DGR100 USED 1
A290516 Kokusai Electric 2DI-10035-03 LIFT ASSEMBLY NEW OTHER 1
A290522 Delta DC Power Inc. S27505CTX1 Power Supply 1375W Used Working 1
A294095 AceCo CS33-155N Aluminum Cathode Upper Electrode Lot of 7 New 1
A7123 Applied Ceramics 91-01394A Heat Shield 200 mm SNNF 1/4" Silicon USED 12
AA-1012 Controlotron 995T CDU Hand Controller Used Working 1
AA-1091 ASM S33-2178 Eagle 300mm Susceptor Pedestal Ace Co New 1
AA-1106 AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working 1
AA-1120 ACE CO S33-2178 ASM 300mm Pedestal New 12
AA-1120 ACE CO S33-2178 ASM 300mm Pedestal New 12
AA-1121 AMAT Applied Materials 0010-08061 300mm Preclean Viewpoint Assembly New 1
AA-1122 Fortrend 120-1004 Wafer Loader F-8025 Used 1
AA-1125 Nikko Materials 500084725 Chamber Plate Assembly New 1
AA-1131 Daihen RGA-50C-V Power Generator Used Working 1
AA-1134 AMAT Applied Materials 0010-07476 300mmPVD Chamber Clear Lid Viewport Used 1
AA-1142 Olympus Objective MSPlan 20 IC 20 0.46 Used Working 1
AA-1148 Olympus Objective MSPlan 10 IC 10 0.30 Used Working 1
AA-1150 Olympus Objective MSPlan 5 IC 5 0.13 Used Working 2
AA-1154 Bio-Rad Objective 3.5/0.06 BA0569 Used Working 1
AA-1156 Bio-Rad Objective 30/0.50 BA0546 Used Working 1
AA-1169 AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool New 1
AA-1174 Edwards D37215000 Vacuum Flash Module Lot of 3 Used Working 46
AA-1176 Edwards U20000618 Tool Interface Module Used Working 13
AA-1178 Edwards U20000617 Tool Interface Module Used Working 10
AA-1180 Edwards Tool Interface Module used 2
AA-1188 Rippey Eclipse 500137185 Polisher MCFEM-38-18XN-0?310 Used Working 32
AA-1190 ASML 4022.471.5200 SHB Limms Safety Unit Used Working 1
AA-1190 ASML 4022.471.5200 SHB Limms Safety Unit Used Working 1
AA-1192 Panasonic MSD021V AC Servo Driver Used Working 1
AA-1196 Edwards A52844460 Interface Module Used Working 9
AA-1196 Edwards A52844460 Interface Module Used Working 13
AA-1200 Edwards U20000480 Tool Interface Module Used Working 57
AA-12001 TEL Robot Controller TEL T-3044SS used working 1
AA-12002 TEL Robot Controller TEL T-3044SS used working 2
AA-12009 MKS 123714-G1 GBR1B24CR1 GBROR InSitu Flow Verifier used working 1
AA-12013 TMC 21-26692-02 Active Piezoelectric Vibration Control System STACIS 2000 used 1
AA-12013 TMC 21-26692-02 Active Piezoelectric Vibration Control System STACIS 2000 used 1
AA-12014 Kokusai Zestone DD-1203V Tweezer used working 5
AA-12015 Novellus 02-168108-00 Sesioc Sioc Bath Module used working 2
AA-12017 Sumitomo 4S587-599 ADE1 V Control Unit M28558 4S013-373-2 DA-Z Z12 Z3 used works 1
AA-12018 MKS 123714-G1 GBR1B24CR1 GBROR InSitu Flow Verifier used working (no cables) 1
AA-12019 VAT 02112-AE24-AAJ1 Rectangular Slit Valve ASM Epsilon 3200 used working 1
AA-12022 SMC MDUB63-60DM-F7BV Cylinder TEL T-3044SS used working 6
AA-12023 TEL 3D80-000009-V4 Wafer Transfer Servo Assembly SPCBUA2-20-16-ZV T-3044SS used 5
AA-12023 TEL 3D80-000009-V4 Wafer Transfer Servo Assembly SPCBUA2-20-16-ZV T-3044SS used 1
AA-12024 TEL 3D80-000008-V4 Wafer Transfer Servo Assembly SPCBUA2-20-40-ZV T-3044SS used 5
AA-12026 Sanyo Denki PZ0B030AFS1P00 BL Super PZ Servo Amplifier Sigmameltec RTS-500 used 3
AA-12031 Sigmameltec RTS-500 CDL Dispense Cart used working 1
AA-12032 Millipore INGENTPU0 Single Resist Pump Cart RTS5000 Sigmameltec RTS-500 used 1
AA-12033 Millipore INGEN2PU0 Single Resist Pump Cart SH5M055R9 RTS5000 Sigmameltec used 1
AA-12034 TBK RH700C Robot Hand Controller (Lot of 3) Sigmameltec RTS-500 used working 1
AA-12044 SVG 99-80207-02 Circuit Board Spin Station Expansion Rev H used working 1
AA-12045 SVG 99-80207-02 Circuit Board Spin Station Expansion Rev A used working 1
AA-12046 SVG 99-803-336-01 Circuit Board Nikon Interface Rev O used working 1
AA-12047 SVG 80164B Circuit Board EXH FLOW CNTRL SVG 90-S used working 1
AA-12049 SVG 99-80315-01 Circuit Board Exhaust Flow Controller Rev A SVG 90-S used works 1
AA-12050 SVG 99-80210C3-01 Controller PCB 99-80299-01 Chill Plate A/D Rev 52 90-S used 1
AA-12051 SVG 99-80308-01 Circuit Board DC Distribution MCE Mount SVG 90-S used working 1
AA-12052 SVG 99-80267-01 Circuit Board Shuttle Interface Rev E SVG 90-S used working 1
AA-12052 SVG 99-80267-01 Circuit Board Shuttle Interface Rev E SVG 90-S used working 1
AA-12053 SVG 80166F2-01 Station CPU BD Circuit Board SVG 90-S used working 2
AA-12053 SVG 80166F2-01 Station CPU BD Circuit Board SVG 90-S used working 8
AA-12054 Bay Pneumatic BES-4235 Circuit Board SVG 90-S used working 1
AA-12055 Zygo Controller Sigmameltec RTS-500 used working 1
AA-12057 Rietschle Thomas 2688VEF22-A01 Pneumatic Pump used working 2
AA-12058 Rietschle Thomas A2688VEF22-A02 Pneumatic Pump used working 1
AA-12059 Rietschle Thomas A2688VEF22 Pneumatic Pump used working 1
AA-1206 Pioneer Magnetics PM2974A-3-5 DC Power Supply Used Working 14
AA-12060 AMAT Applied Materials 0100-20454 Controller Backplane 0130-20454 Rev 005 used 1
AA-12061 AMAT Applied Materials 0100-00579 System AC Distribution 0130-00579 Rev 002 used 1
AA-12062 AMAT 0100-00611 Smoke & Water Leak Detector 0130-00611 Rev 005 used working 1
AA-12064 AMAT Applied Materials 0190-01270 Rev 005 Circuit Board CDN396 15039603 used 1
AA-12066 AMAT Applied Materials 0660-01865 Circuit Board CDN391 15039103 used working 2
AA-12069 Omron S8PS-10024C Power Supply (Lot of 10) used working 1
AA-12070 Omron S8PS-05005C Power Supply (Lot of 11) used working 1
AA-12072 Nikon Optistation 3 Wafer Handling Assembly used untested as-is 1
AA-12075 Nikon 4S080-626 PCB Circuit Board LC-PRES2A 4S007-770-D Rev F NSR-S204B used 1
AA-12076 Nikon 4S007-770-D PCB Circuit Board LC-PRES2B 4S080-627 Rev F NSR-S204B used 1
AA-12077 Nikon 4S007-876-A Circuit Board SHRINC-INTF-3 Rev B NSR-S204B used working 1
AA-12078 Nikon 4S007-945 Circuit Board STGX3A Rev C NSR-S204B used working 1
AA-12079 Nikon 4S007-787-2A Circuit Board AVIS-I/F2 Rev B NSR-S204B used working 1
AA-12080 Nikon 4S007-902-1 Circuit Board X2A-STGA/D NSR-S204B used working 1
AA-12081 Nikon 4S007-668-A Circuit Board FIAAF-PROCESS-A Rev F 4S008-092 NSR-S204B used 1
AA-12082 Nikon 4S007-667-A Circuit Board FIAAF-PROCESS-D Rev H 4S008-092 NSR-S204B used 1
AA-12086 Maxon 44.060.000-00.09-071 Motor used working 1
AA-12087 Yaskawa SGM-01U312C AC Servo Motor 100W 200V 0.87A r/min 3000 used working 1
AA-12088 Omron V640-HAM11 Amplifier Unit 18706V (Lot of 2) used working 1
AA-12090 Oriental Motor PK564AW-P50 Vexta 5-Phase Stepping Motor (Lot of 4) used working 1
AA-12092 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working 1
AA-12092 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working 1
AA-12092 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working 1
AA-12092 Shinko SBX08-000003-11 PCB Circuit Board LPCN-1A-1 SBX93-100003-C1 EC working 3
AA-12095 SMC MDBG63-90-A53L Cylinder (Lot of 4) used working 1
AA-12102 Densei-Lambda PWB-769E Power Supply used working 1
AA-12102 Densei-Lambda PWB-769E Power Supply used working 1
AA-12102 Densei-Lambda PWB-769E Power Supply used working 1
AA-12103 Cosel UAW125S-12 Power Supply (Lot of 2) used working 2
AA-12108 Densei-Lambda PWB-769F Power Supply used working 1
AA-12110 SMC AFM40-02B Mist Separator Module (Lot of 6) used working 1
AA-12111 Advantest BLM-027101 PCB Circuit Board PLM-827101AA1 M4542AD used working 4
AA-12112 Advantest BLL-029622 Over Current PCB Circuit Board M4542AD used working 6
AA-12113 Advantest BLH-024180 PCB Circuit Board M4542AD used working 3
AA-12113 Advantest BLH-024180 PCB Circuit Board M4542AD used working 3
AA-12115 Contec 7065 PCB Circuit Board COM-2(PC)F Advantest M4542AD used working 4
AA-12116 MIS AS-8320 PCB Circuit Board Advantest M4542AD used working 2
AA-12116 MIS AS-8320 PCB Circuit Board Advantest M4542AD used working 2
AA-12117 Advantest BLC-029524 PCB Circuit Board M4542AD used working 5
AA-12117 Advantest BLC-029524 PCB Circuit Board M4542AD used working 1
AA-12119 Advantest BLB-025841 Circuit Board PLB-420972BB2 M4542AD used working 23
AA-1212 Edwards 2xIGX/100L Control TIM Used Working 1
AA-1212 Edwards 2xIGX/100L Control TIM Used Working 3
AA-12120 Advantest BLB-027895 Circuit Board PLB-420972BB2 M4542AD used working 5
AA-12120 Advantest BLB-027895 Circuit Board PLB-420972BB2 M4542AD used working 1
AA-12122 Hitachi HT94218A PCB Circuit Board PM1 Version A used working 4
AA-12123 Hitachi RYX-1 Time Delay Relay PCB Circuit Board used working 1
AA-12124 Hitachi BBS507-1 PCB Circuit Board used working 2
AA-12125 Hitachi PTPA-01 PCB Circuit Board (Lot of 2) used working 2
AA-12126 TEL 3D81-000045-V2 Circuit Board TYB62H-1/OPF2 T-3044SS used working 2
AA-12127 TEL 3D81-000040-V2 Circuit Board TYB61F-1/SYS1 T-3044SS used working 2
AA-12128 TEL 3D81-000041-V2 Circuit Board TYB62G-1/SYS2 T-3044SS used working 2
AA-12129 TEL 3D81-000044-V3 Circuit Board TYB61K-1/OPF1 T-3044SS used working 2
AA-12134 TEL 3D81-050028-V1 Circuit Board PF-DB LM CONT TEL T-3044SS used working 1
AA-12135 TEL 2L81-050048-92 Circuit Board TYB62B-9/LM-LF TEL T-3044SS used working 1
AA-12136 TEL 2L81-050048-15 Circuit Board TYB62B-1/LM-LF TEL T-3044SS used working 1
AA-12137 TEL 2L81-050054-V1 Circuit Board TYB514-1/I048-LF TEL T-3044SS used working 4
AA-12138 TEL 2L08-050053-11 Circuit Board TYB513-1/IOGS-LF TEL T-3044SS used working 2
AA-12139 TEL 3D08-000019-12 Circuit Board TYB513-1/IOGS TEL T-3044SS used working 1
AA-1214 Edwards Control TIM 2xGX600L/2xIGX6?00M Used Working 3
AA-12148 Thermo Noran 170A141781 MADC BD 512-068800 700P135927 AMAT SemVision cX used 2
AA-12149 Thermo Noran 170A141759-D ADSP BD 700P135927 Rev E AMAT SemVision cX used works 1
AA-12150 Thermo Noran 170A117357-E I/O BD 700P135927 Rev E AMAT SemVision cX used working 1
AA-12151 Thermo Noran 170A141759-D ADSP BD 700P135927 Rev I AMAT SemVision cX used works 1
AA-12152 Thermo Noran 170A141807 I/O/M BD 700P135927 Rev I AMAT SemVision cX used working 1
AA-12153 Motorola 01-W3508F Circuit Board MVME 147-010A 700P135927 Rev I AMAT used works 1
AA-12154 Motorola 01-W3508F Circuit Board MVME 147-010A 700P135927 Rev E AMAT used works 1
AA-12155 Thermo Noran 170A141798-B VCI Linear Power Supply Board 700P135927 Rev I used 1
AA-12156 Thermo Noran 170A141798-A VCI Linear Power Supply Board 700P135927 Rev E used 1
AA-12157 Thermo Noran 67446 Power Supply BD67447C 700P135927 Rev E AMAT SemVision cX used 1
AA-12159 A to Z Electronics Opal 50312445000 Teach Pendant Controller AMAT SemVision cX 1
AA-1216 Edwards U20000924 Network Interface Module iNIM Used Working 5
AA-1216 Edwards U20000924 Network Interface Module iNIM Used Working 12
AA-1216 Edwards U20000924 Network Interface Module iNIM Used Working 2
AA-1216 Edwards U20000924 Network Interface Module iNIM Used Working 9
AA-12160 Opal 50312445000 Teach Pendant Controller AMAT SemVision cX used as-is 1
AA-12161 Opal 50312445000 Teach Pendant Controller AMAT SemVision cX used as-is 1
AA-12162 Jikco COX-B7A Monitor Alarm Box Nikon 4S587-736 NSR-Series used working 1
AA-12163 Nikon 4S065-418 Power Supply Interface Module Nikon NSR-Series used working 1
AA-12164 Nikon 4S065-417 Power Supply Nikon NSR-Series used working 1
AA-12166 Nikon 4S065-417 Power Supply Nikon NSR-Series used working (no cables) 1
AA-12168 PI E-501.00X PTZ Servo Controller E-501.00 LVPZT-Amplifier Nikon NSR-Series used 2
AA-12169 Nikon 4B056-800 Rotary Lens Assy KNB47138 K-00101 Nikon NSR-Series used working 1
AA-12174 Edwards D37215000 Vacuum Flash Module (Lot of 2) used working 1
AA-12176 TEL T-3044SS Loader Module Control Chassis used working 1
AA-12177 Sigmameltec RTS-500 Wafer Transfer Robot used as-is untested 1
AA-12179 AMAT EPDU Power Distribution Box AMAT SemVision cX used working 3
AA-1218 Edwards U20000922 Tool Interface Module Used Working 1
AA-1218 Edwards U20000922 Tool Interface Module Used Working 1
AA-1218 Edwards U20000922 Tool Interface Module Used Working 2
AA-12183 Sigmameltec RTS-500 CLC202 Power Supply 6 5/16� Tube used working 1
AA-12184 Sigmameltec RTS-500 CLC203 Power Supply 6 6/16� Tube used working 1
AA-12185 Sigmameltec RTS-500 CLC204 Power Supply 7 �� Tube 4 �� used working 1
AA-12186 Sigmameltec RTS-500 CLC201 Power Supply 1 7/8� Tube used working 1
AA-12188 Koganei CS-TBDA20x120-5W CS-TBDA20x80 Air Cylinder (Lot of 2) used as-is 1
AA-12194 Edwards Sprecher LA7-40-1753 IQDP On Off Motor Disconnect Switch (Lot of 2) used 2
AA-12195 TEL T-3044SS Load Port used working 1
AA-12196 Zellweger Analytics 2414-0017 Digi-Cat Non-Intrusive Calibrator DD-1203V used 6
AA-12197 Killark Sieger 16431AAEB HKGL0795 HKB0495 Kokusai Zestone DD-1203V used working 1
AA-12199 Tokyo Keiso F05-282666 F05-282665 F05-281974 H2O Zestone DD-1203V used as-is 1
AA-1220 Particle Measuring System 310 MiniNet Minienviornment Used Working 1
AA-12201 Watlow Anafaze TLME010DDDDDDDD Thermal Monitor Temp Switch TLM-8 (Lot of 3) used 1
AA-12203 Omron SDV-FH2 Voltage Sensor (Lot of 3) used working 1
AA-12204 Omron G9SA-301 Safety Relay Unit G9SA 24 VAC/DC (Lot of 4) used working 1
AA-12205 Omron H3Y-2 Timer H3Y (Lot of 4) used working 3
AA-12208 Sayama Trading SP-480/SA 2-Channel Readout Controller (Lot of 3) used working 1
AA-12209 Omron APR-S Phase Reversal Relay (Lot of 5) used working 1
AA-12212 Omron H3YN-21 Timer H3YN (Lot of 7) used working 1
AA-12214 Nemic-Lambda JWS 150-24/A Power Supply 100-240VAC~2.1A 50/60Hz 24V (Lot of 6) 1
AA-12216 Omron MY2N-D2 LY2N-D2 MY4N-D2 G2R-2-SND Relay (Lot of 147) used working 1
AA-12219 Motortronics CSD-410-N Variable Frequency Drive CSD Series used working 1
AA-12221 Hitachi CB100A SECS Interface Kokusai Zestone DD-1203V (no memory card) used 1
AA-12223 MKS 123714-G1 InSitu Flow Verifier GBR1B24CR1 GBROR ASM Epsilon 3200 used works 1
AA-12224 Seiko Precision VP-4500 Thermal Video Printer (no cables) AMAT SemVision cX used 3
AA-12224 Seiko Precision VP-4500 Thermal Video Printer (no cables) AMAT SemVision cX used 1
AA-12224 Seiko Precision VP-4500 Thermal Video Printer (no cables) AMAT SemVision cX used 2
AA-12229 DIP 15049105 Circuit Board CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm used 1
AA-12231 Asyst Hine Design 04630-003 Load Elevator Indexer GaSonics 94-1175 used working 1
AA-12236 Sharp ZM-94T LCD Terminal 12� TFT Color Display Nitto Denko MA3000II used works 1
AA-12237 Nunome Electric NES 2000 Dry-Type Transformer Nitto Denko MA3000II used working 1
AA-12239 Koganei MF400-03 Mist Filter R600-03 Regulator Assy Nitto Denko MA3000II used 1
AA-12240 SMC ITV2030-322BL E/P Regulator used working 1
AA-12244 Nitto Denko MA3000II Wafer Chuck Vacuum Assembly used working 1
AA-12245 Nitto Denko MA3000II Wafer Slider used working 1
AA-12246 SMC VQ1100Y-5 VQ1300Y-5 VQ1200Y-5 9 Slot Pneumatic Solenoid Valve Manifold used 1
AA-12247 SMC VQ1100Y-5 VQ1400Y-5 VQ1200Y-5 7 Slot Pneumatic Solenoid Valve Manifold used 1
AA-12248 Axcelis 595731 Module Power Distribution 5125B6W Pin & Sleeve Inlet & Cable used 2
AA-1225 Nikon 4K802-253 Robot Elevator 4S013-464 RBTLNK3 Nikon NSR-S307E used working 1
AA-1225 Nikon 4K802-253 Robot Elevator 4S013-464 RBTLNK3 Nikon NSR-S307E used working 3
AA-12250 Axcelis Technologies 697221 Power Supply GEMINI Working Spare 1
AA-12251 Axcelis 557841 Chuck Heater Current Monitor PCB Rev A Fusion PS3 used working 1
AA-12252 Axcelis 462091 Rotator Control PWB Assy Rev A Fusion PS3 used working 1
AA-12254 Intronics 2B52A Thermocouple Transmitter Analog Device Axcelis Fusion PS3 used 1
AA-12256 SMC VXZ2240 Solenoid Valve used working 1
AA-12258 Axcelis 595731 Module Control Fusion PS3 590561 RPC320027 used working 1
AA-12259 Axcelis CRPC320024DRAW Module Control Fusion PS3 RPC320027 590561 used working 1
AA-12261 Nikon NSR-S204B Main Body Reticle Stage Viewing Assembly used working 1
AA-12264 Sigmameltec RTS-500 Linear Developer Dispenser SGM-01U312C SGMP-01U312 used 1
AA-12265 Sigmameltec RTS-500 Linear Developer Dispenser SGMP-01U312 SGM-01U312C used 1
AA-12266 Sigmameltec RTS-500 Linear Developer Dispenser SGMP-01U312 SGM-01U312C as-is 1
AA-12267 Hitachi M-712E 2M130 Microwave Component Assembly IDX 13175 used as-is 1
AA-12268 TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1 used working 12
AA-12270 Oriental Motor PH265-02 2 Phase Vexta Stepping Motor Throttle Valve used working 1
AA-12272 VAT F03-112035/4 Slit Valve Novellus Concept 2 Altus used working 1
AA-12273 VAT F03-109760/1 Slit Valve Novellus Concept 2 Altus used working 1
AA-12276 Novellus 02-10511-00 Module Controller 486/50/8M Concept 2 Altus used working 1
AA-12278 Tylan General CDL-21S06 Baratron Capacitance Manometer Concept 2 Altus used 3
AA-12279 Granville Phillips 275821 Differential Output Amplifier (Lot of 3) used working 1
AA-12280 MDC KIV-075-P Pneumatic Vacuum Inline Valve KF-16 (Lot of 2) used working 1
AA-12283 TeleFrank TZP80-2405/S AC-DC Converter w/ Cable Brooks Fixload V6 used working 1
AA-12284 Jenoptik 012854-010-26 FIXMAP Module MC 02 Brooks Fixload V6 used working 1
AA-12285 Modern Industries 02605315 Actuator Motor used working 1
AA-12287 THK LM Guide Actuator KR Sigmameltec RTS-500 used working 1
AA-12288 THK LM Guide Actuator KR 40� Sigmameltec RTS-500 used working 1
AA-12289 THK LM Guide Actuator KR 56� Sigmameltec RTS-500 used working 1
AA-12290 THK LM Guide Actuator KR 56�Sigmameltec RTS-500 used as-is 1
AA-12293 Edwards iQDP Pump Cables Angle Plug (Lot of 3) used as-is 1
AA-12294 Edwards iQDP Pump Cables (Lot of 7) used as-is 1
AA-12296 Nikon 4S007-692-1 Circuit Board WL3PRE2 Rev E Nikon NSR-S204B used working 1
AA-12297 Nikon 4S018-679 Circuit Board RL-LIBHT2 Nikon NSR-S204B used working 1
AA-12297 Nikon 4S018-679 Circuit Board RL-LIBHT2 Nikon NSR-S204B used working 2
AA-12298 Asahi D2810 Linear Pulsemotor Controller 4S014-160A Nikon NSR-S204B used working 1
AA-12298 Asahi D2810 Linear Pulsemotor Controller 4S014-160A Nikon NSR-S204B used working 1
AA-12298 Asahi D2810 Linear Pulsemotor Controller 4S014-160A Nikon NSR-S204B used working 3
AA-12299 Nikon 4S007-855 Rev B Circuit Board WL3CR Nikon NSR-S204B used working 1
AA-12299 Nikon 4S007-855 Rev B Circuit Board WL3CR Nikon NSR-S204B used working 8
AA-12299 Nikon 4S007-855 Rev B Circuit Board WL3CR Nikon NSR-S204B used working 1
AA-123 ENI MW Controller RFC-6-01 Used Working 13
AA-123 ENI MW Controller RFC-6-01 Used Working 9
AA-12300 Nikon 4S018-568-A Rev B Circuit Board WL3PNL4 Nikon NSR-S204B used working 1
AA-12301 Nikon 4S013-188 Rev A Circuit Board LINK-RX Nikon NSR-S204B used working 4
AA-12301 Nikon 4S013-188 Rev A Circuit Board LINK-RX Nikon NSR-S204B used working 1
AA-12302 Nikon 4S005-399 Photoelectric Circuit Board RFLCT-A4B1 NSR-S205C used working 3
AA-12307 Nikon NSR-S204B Piezo Electric Sensor & Cable Main Body used working 6
AA-12315 Ushio CD0022 Hot Plate Heater Shinko Acteq AKH220EUS Sigmameltec RTS-500 used 1
AA-12323 Hamamatsu C9047-01 Nikon NSR-S307E Beam Matching Unit Used Working 1
AA-12326 Ntron C7-01-5124-00-0 O2 Analyzer 5124B-N1 Nikon NSR-S205C No Connectors As-Is 2
AA-1233 Yaskawa XU-CM2500 Robot Controller Nikon KAB11320/201B-0 used working 2
AA-12331 Nikon IU-IUC NSR-S205C Beam Matching Unit Optics Assembly used as-is 2
AA-12337 CKD FSM-N-050-6A Pressure Sensor NSR-S205C (Lot of 5) used working 3
AA-12338 CKD FSM-N-050-6A Pressure Sensor (Lot of 4) NSR-S205C used working 1
AA-12339 Mitutoyo-09AAB215-Linear-Scale-ST420-Lot-of-4-NSR-S205C-used-working 3
AA-12340 Nikon 4S587-579A 5 Port Hub NSR-S205C used working 8
AA-12341 QI NS2300/E Controller & Cable 4S288-214-1 NSR-S205C used working 3
AA-12342 Queensgate Instruments NS2300/E Controller 4S288-214-1 NSR-S205C used working 6
AA-12343 Mitutoyo 09AAA790 Linear Scale ST320 (Lot of 5) NSR-S205C used working 1
AA-12344 Jikco COX-10D Channel Box 4S588-280 Nikon NSR-S205C used working 2
AA-12346 TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C No Screws Used Working 2
AA-12347 TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C Used Working 3
AA-12348 TDK RDH24-6RO Power Supply Lot of 2 Nikon NSR-S205C Untested As-Is 1
AA-12351 Nikon 4S008-207 Circuit Board EP-EE3 Rev D Nikon NSR-S205C used working 2
AA-12351 Nikon 4S008-207 Circuit Board EP-EE3 Rev D Nikon NSR-S205C used working 1
AA-12352 Nikon 4S013-363 Circuit Board BLECX4 Rev A Nikon NSR-S205C used working 1
AA-12352 Nikon 4S013-363 Circuit Board BLECX4 Rev A Nikon NSR-S205C used working 2
AA-12353 Nikon 4S013-364 Circuit Board BLPWX4 Rev A Nikon NSR-S205C used working 1
AA-12354 Nikon 4S013-364 PCB Circuit Board BLPWX4 Rev A Nikon NSR-S205C used working 1
AA-12355 Nikon 4S018-531-1 PCB Circuit Board WL3MTR-H6 Rev B Nikon NSR-S204B used working 4
AA-12356 Yaskawa XU-DL1600W30 Rev A Circuit Board 4S014-165 Rev E 4S014-166-1 used works 1
AA-12358 Yaskawa XU-DL1600 Rev A Circuit Board Nikon NSR-S204B used working 3
AA-12359 Yaskawa XU-DL1600W30 Rev A Circuit Board 4S014-165 Rev C 4S014-166-1 008137-C 1
AA-12360 Nikon 4S018-679 Circuit Board RL-LIBHT2 Nikon NSR-S204B used as-is 1
AA-12361 Nikon KXG72147 Power Supply 4S007-854-1 PAA50F-24 Nikon NSR-S204B used working 2
AA-12362 Nikon 4S007-854-1 Power Supply KXG72147 PAA50F-24 Nikon NSR-S204B Used Working 1
AA-12363 Nikon 4S015-046H Circuit Board NK386SX Nikon NSR-S204B used working 1
AA-12365 Yaskawa XU-DL1600W30 Rev A Circuit Board 008121-C 4S014-165 Rev C 4S014-166-1 1
AA-12371 Nikon Wafer Loader Control Panel NSR-S204B Used Working 1
AA-12374 Nikon IU-RA Optical Assembly IU-RT NSR-S204B Beam Matching Unit Untested As-Is 4
AA-12387 Nemic-Lambda NES 240-24 Power Supply Reseller Lot of 3 Used Working 1
AA-12390 KLA-Tencor 0024496-001 Scan Exhaust Blower Assembly 0033003-002 Used Working 3
AA-12392 Dolan-Jenner A-241P Fiber-Lite 150 Watt Regulated Power Supply KLA Used Working 1
AA-12393 Dolan-Jenner A-241P-RS-232 Fiber-Lite 150 Watt Regulated Power Supply KLA-Tencor 2
AA-12397 KLA Tencor AIT UV 0071141-003 Assy 0033116-002 0090847-001 Used Working 1
AA-12398 KLA-Tencor 0071141-003 Assembly 0033116-000 AIT UV 0090847-000 Used Working 1
AA-12400 KLA-Tencor 0023504-002 Polarization Assembly 0037183-005 0071152-001 Used 1
AA-12401 KLA-Tencor AIT UV 0038216-001 MMD Power Unit, AIT Module used working 1
AA-12402 KLA-Tencor 0025040-001 Pneumatic Actuator Rev. AC KLA AIT UV used working 2
AA-12404 KLA-Tencor AIT UV 0071149-001 Preamplifier Optical Assy 0024747-000, 0023745-000 2
AA-12405 KLA-Tencor-0026346-001-Optical-Assembly-AC-8380-1-used-working 1
AA-12407 KLA-Tencor 0023936-001 Power Assy LPM AIT UV Missing Panels Used Working 1
AA-12408 KLA-Tencor 0023936-001 Power Assy LPM AIT UV Used Working 1
AA-12409 SMC ISE4L-01-25 Pressure Switch (Lot of 4) used working 1
AA-12410 Digi (1P)50001008-01 SCSI Terminal Server STS 1008 AMAT SemVision cX 300mm used 1
AA-12411 Tropel 140315 Scan Lens KLA-Tencor AIT UV+ (pneumatic inlet needs replaced) used 1
AA-12413 SMC ZSE4-01-25 Pressure Switch used working 1
AA-12415 Nemic-Lambda NES 240-24 Power Supply used working 1
AA-12417 Duet 1DT12006 PC Splitter AMAT Quantum X used working 4
AA-12417 Duet 1DT12006 PC Splitter AMAT Quantum X used working 1
AA-12419 Nikon K-ARX4B1 Optic Assembly IU-2ZM Nikon NSR-S307E Beam Matching Unit used 1
AA-1242 Sumitomo X88D1-0001 Linear Motor Driver 4S587-651 Nikon NSR-S307E used working 5
AA-1242 Sumitomo X88D1-0001 Linear Motor Driver 4S587-651 Nikon NSR-S307E used working 1
AA-12425 KLA-Tencor Stage (no chuck) 0049592-003 0023835-002 AIT-UV used as-is 1
AA-12426 Fujitsu FAS-360/14NP2 Amplifier 4T070-410 Nikon NSR-Series used working 6
AA-12427 KLA-Tencor AIT UV 0033743-000 Illumination Optics Casting Assembly used as-is 1
AA-12428 KLA-Tencor AIT UV 0033743-000 Illumination Optics Casting Assembly used as-is 1
AA-12429 KLA-Tencor AIT UV 0033743-000 Illumination Optics Casting Assembly used as-is 1
AA-1243 Yaskawa XU-DL1930 Amplifier 4S587-775 Nikon NSR-S307E used working 1
AA-12430 KLA-Tencor 386090 Distribution Circuit Board S8000 AIT UV Used Working 1
AA-12432 KLA-Tencor 0041186-003 Rev AB 2D PSF DRVR Assy Circuit Board AIT UV used working 2
AA-12434 KLA-Tencor 0052196-008 Rev AB MMD Analog Circuit Board AIT UV Used Working 1
AA-12436 STEC SEC-7330M Mass Flow Controller MFC NO 10CCM SEC-7330 Used Working 1
AA-12437 STEC SEC-7330M Mass Flow Controller MFC NH3 10CCM SEC-7330 Used Working 1
AA-1244 HD Hokuto Denko HZ3000 Automatic Polarization System Used Working 1
AA-12441 Furon 1104249 Pneumatic Valve UPM2-646/4NC used working 1
AA-12444 Grundfos CRN5-10 B-P-G-E-HQQE Pump A96581463P20527 AMAT Quantum X Used Working 6
AA-12445 Grundfos CRN5-10 A-P-G-E-HUUE Pump A96511917P10444 AMAT Quantum X Used Working 5
AA-12456 Nikon NSR-S205C F3WA-022E TFC M22 Refrigerator Chamber TC-Rack used as-is 1
AA-12461 Aera FC-D980C Mass Flow Controller MFC 200 CCM Ar Used Working 2
AA-12462 Aera FC-D980C Mass Flow Controller MFC 10 CCM O2 Used Working 2
AA-12463 Aera FC-D980C Mass Flow Controller MFC 200 CCM SF6 Used Working 2
AA-12464 Aera FC-D980C Mass Flow Controller MFC 500 CCM CL2 Used Working 2
AA-12465 Aera FC-D980C Mass Flow Controller MFC 200 CCM HBr Used Working 2
AA-12466 Aera FC-D980C Mass Flow Controller MFC 30 CCM HBr Used Working 1
AA-12467 Aera FC-D980C Mass Flow Controller MFC 100 CCM Cl2 Used Working 2
AA-12470 Oriental Motor UDX5107N Super Vexta 5-Phase Driver used working 17
AA-12472 Oriental Motor UD2115B Super Vexta 2-Phase Driver Used Working 2
AA-12473 Nemic-Lambda EWS50-5 Power Supply Reseller Lot of 2 Used Working 1
AA-12475 RKC REX-F7 Temperature Controller K 0~400 °C Used Working 2
AA-12476 Shinko SBX08-000040-11 PCB Circuit Board LPCN-2A-1 SCE93-100036-C1 Used Working 10
AA-12476 Shinko SBX08-000040-11 PCB Circuit Board LPCN-2A-1 SCE93-100036-C1 Used Working 8
AA-12477 Shinko SBX08-000004-11 PCB LPCN-3A SBX93-100004-C1 Used Working 1
AA-12479 Brooks Automation 002-6878-02 Circuit Board PCB 002-8700-10 Used Working 10
AA-12481 SMC NCDRA1BS50-180 Rotary Actuator Used Working 2
AA-12482 Siemens 002-8276-02 Circuit Board Reseller Lot of 11 Used Working 1
AA-12483 SMC NCDRA1BS50-UIA000039 Cylinder Rotary Actuator Used Working 8
AA-12484 SMC NCDRA1BS50-UIA000039 Rotary Actuator Cylinder used working 2
AA-12485 SMC NCDRA1BS50-UIA000039 Cylinder Rotary Actuator Used Working 21
AA-12487 SMC VQ1301NY-5 Pneumatic Solenoid Valve Manifold Lot of 5 Used Working 2
AA-12488 MKS Instruments Stainless Steel Dampening Bellow Pipe HPS Used Working 12
AA-12489 Sunx FX-301P-NK2 Photoelectric Sensor FX-300series Lot of 15 Used Working 2
AA-12490 Hitachi Denshi KP-140U CCTV Camera w/ Extension Tube & Lens KLA SL 300 URSA used 1
AA-12491 Fuji Seiki 1012851 Pneumatic Throttle Valve Used Working 1
AA-12493 KLA SL 300 URSA Cannon Camera 655-057368-00 655-057367-00 used working 1
AA-12494 Edwards 2 Port Exhaust Purge Regulator (no exhaust line out/in cables) used 1
AA-12494 Edwards 2 Port Exhaust Purge Regulator (no exhaust line out/in cables) used 1
AA-12495 Agilent E1709A Remote High Performance Receiver (Lot of 4) used working 5
AA-12496 Edwards 4 Port Exhaust Purge Regulator (no exhaust line out/in cables) used 1
AA-12497 Edwards NRY16H101 NRY191000 Eason Control Enclosure used working 1
AA-12497 Edwards NRY16H101 NRY191000 Eason Control Enclosure used working 1
AA-12498 Etel DSB2P123-111E-000H Digital Servo Amplifier DSB2 RECIF SPP300A used working 2
AA-12499 Vicor VI-NU4-EM FlatPAC Power Supply used working 2
AA-12500 Vicor VI-NUB-EM FlatPAC Power Supply used working 1
AA-12501 RECIF PCB0115A Circuit Board used working 1
AA-12506 Tokyo Electron Interface Rollers and Interface Bracket TEL ACT12 used working (3 PCS) 1
AA-1251 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 3
AA-1251 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 1
AA-1251 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 3
AA-1251 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 1
AA-1251 Fuji Electric M-SPS3000RM-2F Uninterruptable Power Supply UPS Used Working 1
AA-12514 Profort PF810-ASE Teach Pendant RS-232C used working 1
AA-12515 Cognex In-Sight 3400 Vision Controller 800-5809-1 D Used Working 3
AA-12516 Opal 50312570000 PCB CVC Board AMAT SemVision cX 300mm used working 1
AA-12516 Opal 50312570000 PCB CVC Board AMAT SemVision cX 300mm used working 2
AA-12518 ASML 4022.471.7496 Circuit Board S31 used working 2
AA-12519 ASML 4022.471.7729 Circuit Board used working 1
AA-12520 ASML 4022.471.5751 Circuit Board used working 1
AA-12521 ASML 4022.471.7493 Circuit Board used working 3
AA-12521 ASML 4022.471.7493 Circuit Board used working 1
AA-12522 ASML 4022.471.5239 Circuit Board used working 1
AA-12523 ASML 4022.471.7092 Circuit Board used working 1
AA-12524 ASML 4022.471.5752 Circuit Board used working 1
AA-12525 ASML 4022.471.5242 Circuit Board used working 1
AA-12526 ASML 4022.471.5765 Circuit Board CTMR used working 1
AA-12527 Agilent Z4201-20002 PCB Z4401 PC RPIU used working 1
AA-12528 Agilent Z4207-60005 PCB Z4207 NC2 used working 1
AA-12529 ASML 4022.471.6850 Circuit Board S15 used working 1
AA-12530 Agilent Z4207-20006 Circuit Board Z4207 NC4 used working 2
AA-12531 Agilent Z4206-60004 Circuit Board Z4206A I/O Card used working 1
AA-12532 ASML 4022.471.5616 Circuit Board S08 used working 1
AA-12533 Agilient Z4207-60003 Circuit Board Z4207 NC1 used working 1
AA-12534 Agilent Z4207-20006 Circuit Board Z4207 NC3 used working 1
AA-12535 ASML 4022.471.5533 Circuit Board VME64E used working 1
AA-12535 ASML 4022.471.5533 Circuit Board VME64E used working 1
AA-12536 ASML 4022.471.6240 Circuit Board used working 1
AA-12537 ASML 4022.437.1312 Circuit Board [Broken Tab] used working 1
AA-1262 AD TEC AX-2000EUII-N RF Generator used untested sold as-is 4
AA-1262 AD TEC AX-2000EUII-N RF Generator used untested sold as-is 1
AA-1266 Novellus Interlock Gamma 2130 PCB Used Working 1
AA-1267 Novellus I/O Interface Gamma 2130 PCB 03-169462-00-REV A 26-169462-00-REV A 1
AA-1268 Novellus Systems 26-166313-00 Rev. 6 Interface Gamma 03-166313-00 Rev. A Used 1
AA-1275 Yaskawa Sumitomo Controllers Reseller Lot of 4 Not Working As-Is 1
AA-1279 Nikon 4S008-278 PCB Circuit Board BSA-PZT Used Working 1
AA-1279 Nikon 4S008-278 PCB Circuit Board BSA-PZT Used Working 1
AA-1282 Kokusai U01200PMQA-DS1CE Mikro Sonic Ultrasonic Generator Used Working 6
AA-1282 Kokusai U01200PMQA-DS1CE Mikro Sonic Ultrasonic Generator Used Working 11
AA-1289 Novellus 01-8146070-00 Digital Controller Used Working 1
AA-1290 Novellus 01-8130508-00 Digital Controller Used Working 1
AA-1293 Vexta A193201 Motor PK243M-01BA Used Working 1
AA-1296 Newport A18602 VGM-1 Vertical-Drive Gimble Opitical Mount Used Working 1
AA-1298 Vexta PX243M-01AA Stepping Motor Used Working 1
AA-1313 Nikon 2S701-009 PCB Circuit Board 2S020-061 Used Working 1
AA-1313 Nikon 2S701-009 PCB Circuit Board 2S020-061 Used Working 4
AA-1313 Nikon 2S701-009 PCB Circuit Board 2S020-061 Used Working 1
AA-1317 Nikon MCR2S700-598 PCB Circuit Board Used Working 1
AA-1317 Nikon MCR2S700-598 PCB Circuit Board Used Working 1
AA-1323 Aridyne G592-A Inverter Duty Motor Series 2000 Used Working 1
AA-1326 Nikon 4S013-474 PCB Circuit Board RLIOP-I-F3 Used Working 1
AA-1327 Nikon 4S017-714 PCB Circuit Board AIR-I/F Used Working 1
AA-1339 Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner used working 1
AA-1339 Nihon Koshuha AMC-39D-4502A-00 450MHz RF Automatching Tuner used working 1
AA-1342 Kyoto Denkiki KDS-30350W High Voltage Power Supply Used Working 3
AA-1342 Kyoto Denkiki KDS-30350W High Voltage Power Supply Used Working 1
AA-1342 Kyoto Denkiki KDS-30350W High Voltage Power Supply Used Working 1
AA-1343 Kyoto Denkiki KDS-30350WF Voltage Power Supply Used Working 4
AA-1343 Kyoto Denkiki KDS-30350WF Voltage Power Supply Used Working 3
AA-1344 Hitachi EC2 Transformer Unit M-712E Used Working 4
AA-1347 AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L Used Working 1
AA-1354 Daihen SMA-20B Microwave Assembly Hitachi M-712E used working 2
AA-1354 Daihen SMA-20B Microwave Assembly Hitachi M-712E used working 2
AA-1356 Nihon Koshusha HFS-450-020-5 450MHz 2kW Generator Hitachi MU-712E used working 1
AA-1356 Nihon Koshusha HFS-450-020-5 450MHz 2kW Generator Hitachi MU-712E used working 3
AA-1357 Hitachi Kokusai Denki U01200PMQA-DS1CE Ultrasonic Generator Used Working 6
AA-1361 Kyoto Denkiki KDS-30350SF High Volatage Power Supply Hitachi MU-712E used works 1
AA-1361 Kyoto Denkiki KDS-30350SF High Volatage Power Supply Hitachi MU-712E used works 1
AA-1362 Kyoto Denkiki KDS20170W Step-Down Power Supply Used Working 1
AA-1363 Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E used works 1
AA-1363 Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E used works 3
AA-1367 Hitachi I0TC-02N PCB Circuit Board M-712E Etcher used working 1
AA-1367 Hitachi I0TC-02N PCB Circuit Board M-712E Etcher used working 1
AA-1376 Astec Ampss Power Module AA80M-300L-015S Lot of 13 New 1
AA-138 Edwards NGW414000 Stainless Steel Gate Valve KF-40 Used Working 15
AA-1382 Kyoto Denkiki KDS-30350WFX High Voltage Power Supply Used Working 2
AA-1384 Fuji PS-1105U Momentary Line Drop Protector Hitachi M-712E used working 1
AA-1387 NSK EE0408C59-25 Drive Used Working 1
AA-1388 Lexel 00-145-061 Laser 85-S Used Working 1
AA-1391 Kokusai Electric CQ-1501A Accuron Used Working 13
AA-1391 Kokusai Electric CQ-1501A Accuron Used Working 3
AA-1392 Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK TEL Unity II Used Working 21
AA-1392 Yaskawa Electric DR1-08AC Servo Drive N00A SERVOPACK TEL Unity II Used Working 14
AA-1394 General Laser LMC-2007 PC CO2 Laser Marker Used As-Is 1
AA-1395 Tokyo Electronic LCD 1012X Touch Screen BE6138A2 Used Working 4
AA-1398 Hitachi M-712E Pre-aligner and Control Assembly Used Working 1
AA-140 Giddings and Lewis 401-56452-00 Centurion Micro DSM Servo Drive DSM015 Used 2
AA-1405 AMAT Applied Materials 0190-24298 Endura Cable Rev. 002 Used Working 1
AA-1406 NSK EMB014CF1-05 Drive Used Working 3
AA-1406 NSK EMB014CF1-05 Drive Used Working 25
AA-1407 Hitachi BBE11-01 PCB Circuit Board used working 1
AA-1407 Hitachi BBE11-01 PCB Circuit Board used working 2
AA-1407 Hitachi BBE11-01 PCB Circuit Board used working 1
AA-1407 Hitachi BBE11-01 PCB Circuit Board used working 1
AA-1407 Hitachi BBE11-01 PCB Circuit Board used working 1
AA-1408 Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN Used Working 5
AA-1413 Hitachi EC1 Transformer Unit M-712E Used Working 1
AA-1413 Hitachi EC1 Transformer Unit M-712E Used Working 1
AA-1413 Hitachi EC1 Transformer Unit M-712E Used Working 1
AA-1418 Lexel Laser 00-143-502 V-06 Controller 85 S Used Working 1
AA-1422 Hitachi MU-712E Chamber Vacuum Process1 Controller Used Working 1
AA-1422 Hitachi MU-712E Chamber Vacuum Process1 Controller Used Working 1
AA-1423 Hitachi MU-712E Chamber Vacuum Pump1 Controller Used Working 1
AA-1423 Hitachi MU-712E Chamber Vacuum Pump1 Controller Used Working 1
AA-1425 Hitachi DT-01 A PCB Circuit Board Used Working 1
AA-1426 Hitachi RYY-1 PCB Circuit Board Used Working 2
AA-1427 Hitachi 98218 PCB Circuit Board Rev. A Used Working 1
AA-1428 Hitachi HT96611A Circuit Board ASN1 Version G Hitachi M-712E used working 1
AA-1428 Hitachi HT96611A Circuit Board ASN1 Version G Hitachi M-712E used working 1
AA-1429 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working 7
AA-1429 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working 1
AA-1429 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working 1
AA-1429 Hitachi HT94217 Circuit Board CPU0 Version G1 Hitachi M-712E used working 2
AA-1430 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working 2
AA-1430 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working 6
AA-1430 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working 20
AA-1430 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working 1
AA-1430 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working 1
AA-1430 Hitachi HT94218A Circuit Board PM1 Version I1 Hitachi M-712E used working 3
AA-1431 Hitachi HT94219B Circuit Board DIO2 Version A Hitachi M-712E used working 1
AA-1431 Hitachi HT94219B Circuit Board DIO2 Version A Hitachi M-712E used working 5
AA-1431 Hitachi HT94219B Circuit Board DIO2 Version A Hitachi M-712E used working 6
AA-1432 Hitachi MU-712E BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02 1
AA-1432 Hitachi MU-712E BR Control Box Used Working BBBS-11 DI0-01N AI0-02N ILB-02 2
AA-1433 Hitachi Chamber EC2 Temperature Controller Used Working 1
AA-1433 Hitachi Chamber EC2 Temperature Controller Used Working 1
AA-1435 Horiba CS-15MF1-11-115A-QU-P Chemical Solution Monitor CS-100 used working 1
AA-1436 Hitachi VME Microcomputer MU-712E Used Working 1
AA-1437 Hitachi MU-712E 7200 DC Power Supply Unit Used Working 1
AA-1437 Hitachi MU-712E 7200 DC Power Supply Unit Used Working 2
AA-1439 Daihen CMC-ADP2 Microwave Auto Tuner Box Used Working 1
AA-1452 Komatsu 20016470 Temperature Controller AIC-7-12-UC-D Used Working 4
AA-1452 Komatsu 20016470 Temperature Controller AIC-7-12-UC-D Used Working 4
AA-1460 Edwards D37310000 Network Interface Flash Module Used Working 1
AA-1460 Edwards D37310000 Network Interface Flash Module Used Working 3
AA-1460 Edwards D37310000 Network Interface Flash Module Used Working 15
AA-1460 Edwards D37310000 Network Interface Flash Module Used Working 1
AA-1461 Edwards NGR409000 Devicenet Interface Module Used Working 4
AA-1461 Edwards NGR409000 Devicenet Interface Module Used Working 2
AA-1462 Edwards U20001107P Eason Control Box Working Surplus 3
AA-1462 Edwards U20001107P Eason Control Box Working Surplus 1
AA-1462 Edwards U20001107P Eason Control Box Working Surplus 2
AA-1463 Stec SEC-4550M Mass Flow Controller HCI 30 SLM used working 4
AA-1468 Hitachi MU-712E Chamber Vacuum Pump2 Controller Used Working 1
AA-1468 Hitachi MU-712E Chamber Vacuum Pump2 Controller Used Working 1
AA-1468 Hitachi MU-712E Chamber Vacuum Pump2 Controller Used Working 1
AA-1469 Hitachi MU-712E Tank4 Rinse Controller Used Working 1
AA-1470 Hitachi MU-712E Tank6 Rinse Controller Used Working 1
AA-1471 Hitachi MU-712E Tank1 Via Clean Controller Used Working 1
AA-1472 Hitachi MU-712E Tank5 Nitric Clean Controller Used Working 3
AA-1473 Hitachi MU-712E Tank3 Trench Clean Controller Used Working 2
AA-1474 Hitachi MU-712E Tank2 Rinse Controller Used Working 1
AA-1475 Orion Pelthermo ETC902-NSCP-L Used Working 1
AA-1477 Hitachi MU-712E Inverter Vacuum Pump Used Working 1
AA-1477 Hitachi MU-712E Inverter Vacuum Pump Used Working 1
AA-1478 Hitachi MU-712E Inter-lock Box Assembly Used Working 1
AA-1480 Kokusai T1DC1-04426-001 Electric Cassette Loader CX1209P Used Working 11
AA-1482 Asyst 9700-6584-05 Reticle Loader ATR-9000 Rev. E Used Working 1
AA-152 AMAT Applied Materials 0090-91409ITL Chassis XR80 Used Working 6
AA-1528 Asyst 05050-017 Pre-Aligner Model 5 used working 2
AA-1541 AMAT AM9090-00923 Processor Vacuum Control Chassis Rev B Quantum X PRA Rack used 12
AA-1543 Edwards D38665000 Active Gauge Controller DeviceNet AMAT Quantum X PRA Rack used 5
AA-1543 Edwards D38665000 Active Gauge Controller DeviceNet AMAT Quantum X PRA Rack used 15
AA-1545 AMAT 9090-01144 PROCR & LL SENSOR CHASSIS Rev A AMAT Quantum X PRA Rack working 3
AA-1556 AMAT 0100-94078 Argon Oxygen Bleed and Charge Monitor Circuit Quantum X works 1
AA-1562 MKS AS00348-02 eDiagnostics System Interface Blue Box 4000x AMAT Quantum X used 11
AA-1567 Nikon Circuit Board 4S001-082 PW-NJ Nikon NSR-S307E Control Rack working 4
AA-1568 Nikon Compact Advanet Circuit Board 4S015-265 AGPCi 7500 NSR-S307E working 1
AA-1568 Nikon Compact Advanet Circuit Board 4S015-265 AGPCi 7500 NSR-S307E working 3
AA-1569 Nikon Circuit Board 4S013-497 DSP-INF NSR-S307E Nikon Control Rack working 4
AA-1571 Nikon Circuit Board 4S015-214 NK-C446-OPT Nikon NSR-S307E Control Rack working 1
AA-1576 Nikon Circuit Board 4S015-192 NK-C44-60S Nikon NSR-S307E Control Rack working 8
AA-1577 Nikon Circuit Board 4S019-155 WTDRVX4B Nikon NSR-S307E Control Rack working 3
AA-1578 Nikon Circuit Board 4S019-153 WSDRVX4B Nikon NSR-S307E Control Rack working 11
AA-1580 Nikon Circuit Board 4S019-152 RSDRVX4B Nikon NSR-S307E Control Rack working 1
AA-1581 Nikon Circuit Board 4S018-714-1 STIFMEMX4A Nikon NSR-S307E Control Rack working 2
AA-1581 Nikon Circuit Board 4S018-714-1 STIFMEMX4A Nikon NSR-S307E Control Rack working 1
AA-1582 Nikon Circuit Board 4S018-750 SPIOX4 Nikon NSR-S307E Control Rack working 3
AA-1583 Nikon Circuit Board 4S015-257 NK386SXD6 Nikon NSR-S307E Control Rack working 3
AA-1584 Nikon Circuit Board 4S015-164 NK-C441-1 Nikon NSR-S307E Control Rack working 2
AA-1584 Nikon Circuit Board 4S015-164 NK-C441-1 Nikon NSR-S307E Control Rack working 9
AA-1597 Nikon NSR-S307E Control Rack OPD Chassis 4S001-060 4S018-716 4S015-119 working 1
AA-1599 Nikon Circuit Board 4S001-064 MSE182B 2EA00E182B Nikon NSR-S205C Control Rack 1
AA-1599 Nikon Circuit Board 4S001-064 MSE182B 2EA00E182B Nikon NSR-S205C Control Rack 1
AA-1612 Nikon Circuit Board 4S018-786-A C30-I/F-X4 Nikon NSR-S205C Control Rack working 1
AA-1620 Kawasaki Robot Controller C60C-A001 4S211-553-2 4S082-666-2 Nikon NSR-S205C 2
AA-1624 Nikon NSR SEA141A 4S587-624 AVIS1 ERG AMP 4S013-374-2 Nikon NSR-S205C used works 2
AA-1635 Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E used working 8
AA-1638 Unit Mass Flow Controller UFM-8165 0190-08932-002 50L N2 working 1
AA-1640 Millipore Tylan Mass Flow Controller FC-2900M 20SCCM HE 2900 working 20
AA-1645 Berkeley Servo Motor MTR 300w BRSH. W/STD ENCOR.R2 100-000-721-01 Novells C3 2
AA-1648 Glassman Power Supply PS/EX010P02.5 working 2
AA-1653 Pearl Kogyo Controller APU500 Hitachi M-5113 Etcher working 1
AA-1664 Edwards W65521611 Pressure Sensor Barocel 655AB Trans 10TR CAJON 8VCR used works 12
AA-1665 Edwards W65511611 Pressure Sensor Barocel 655AB Trans 1TR CAJON8VCR used working 5
AA-1670 J.C. Schumacher Source Temperature Control 1443-0100-D TCU 100 working 1
AA-1682 Hitachi S-9300 Etcher 564-5507 NSGVA Circuit Board working 3
AA-1685 Hitachi S-9300 Etcher 568-5559 PS DISP Circuit Board working 4
AA-1685 Hitachi S-9300 Etcher 568-5559 PS DISP Circuit Board working 2
AA-1686 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working 1
AA-1686 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working 1
AA-1686 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working 2
AA-1686 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working 1
AA-1686 Hitachi S-9300 Etcher 560-5546 AMHS Circuit Board working 1
AA-1688 Hitachi S-9300 Etcher 560-5547 AMHS Circuit Board working 1
AA-1688 Hitachi S-9300 Etcher 560-5547 AMHS Circuit Board working 4
AA-1689 Hitachi S-9300 Etcher V-DSP240/SP SDS-9725 Circuit Board working 2
AA-1689 Hitachi S-9300 Etcher V-DSP240/SP SDS-9725 Circuit Board working 4
AA-1690 Melec Circuit Board KP1178-4 C-820A Hitachi S-9300 Etcher working 6
AA-1691 Hitachi S-9300 Etcher 560-5502 NOMAFC working 5
AA-1692 Hitachi S-9300 Etcher 568-5502 568-5503 560-5512 IMSUB Circuit Board working 1
AA-1694 Hitachi S-9300 Etcher 560-5506 NE-Q Circuit Board working 3
AA-1695 Hitachi S-9300 Circuit Board 568-5589 PASUB working 2
AA-1695 Hitachi S-9300 Circuit Board 568-5589 PASUB working 1
AA-1695 Hitachi S-9300 Circuit Board 568-5589 PASUB working 2
AA-1695 Hitachi S-9300 Circuit Board 568-5589 PASUB working 1
AA-1696 Nikon Circuit Board 4S017-716 PD-MTH working 1
AA-1698 ZX370 Zynx Circuit Board 700-0102-001 PC0052-01 KLA AIT working 1
AA-1699 Schroff Stepper Drive Circuit Board 0100-00003 SN0JMI1 working 1
AA-1701 Nikon Circuit Board 4S017-723 LD-MTH NSR working 1
AA-1702 Nikon Circuit Board 4S018-368 SVGL-MTHR NSR working 1
AA-1704 Ultra Circuit Board ECNZY96 57/334/38 working 1
AA-1706 KLA Tencor Circuit Board 369470 FAB 319457 KLA AIT working 1
AA-1709 KLA Tencor Circuit Board 327484 60-500455-006 KLA AIT working 1
AA-1710 KLA-Tencor Circuit Board 261408 184574 KLA AIT working 1
AA-1711 KLA-Tencor Circuit Board 363251 184578 KLA AIT working 1
AA-1712 KLA-Tencor Circuit Board 289787 289779 KLA AIT working 1
AA-1713 KLA-Tencor Circuit Board 285293 285307 KLA AIT Working 1
AA-1715 KLA-Tencor Circuit Board MRV2/VID 521-0201 KLA Tencor AIT 1
AA-1716 Logitech Diamond Smoothing Block 316 1ACCA-01710 new surplus 1
AA-1717 MDG039 SL-3020 Screen Circuit Board PMCDIV PC-97010 DNS Screen FC-3000 1
AA-1719 DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000 1
AA-1719 DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000 2
AA-1719 DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000 1
AA-1721 DNS SL-3010 Screen Circuit Board COMDIV PC-97009 DNS Screen FC-3000 1
AA-1721 DNS SL-3010 Screen Circuit Board COMDIV PC-97009 DNS Screen FC-3000 1
AA-1722 PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working 2
AA-1722 PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working 2
AA-1727 MKS Mass-Flo Controller 1479A-22931 10000 SCCM He H2 Mass Flow Controller 4
AA-1730 DigitalView Display Screen AV-7010-0120-A4 Varian VIISta working 1
AA-1732 MKS Automatic Pressure Controller 152F-PO Type 152 working 1
AA-1763 NK8601A Nikon Circuit Board 4S015-227 Nikon NSR S205C used working 1
AA-1763 NK8601A Nikon Circuit Board 4S015-227 Nikon NSR S205C used working 2
AA-1764 NK386SX4-NSC Nikon Circuit Board 4S015-197 Nikon NSR-S205C working 2
AA-1764 NK386SX4-NSC Nikon Circuit Board 4S015-197 Nikon NSR-S205C working 3
AA-1768 NSR 17 Tazmo Robot Controller 4S064-549 Nikon NSR-S205C Wafer Loader working 2
AA-1770 NK8601A RBT_I/F Nikon Circuit Board ASSY 4S015-227 4S018-778-4 working 1
AA-1778 PWM-DRV1 Nikon Circuit Board 4S018-707-2 Nikon NSR-S205C Wafer Loader working 2
AA-1778 PWM-DRV1 Nikon Circuit Board 4S018-707-2 Nikon NSR-S205C Wafer Loader working 2
AA-1811 AMAT Filteration Control Chassis 9090-01060 0100-01938 0100-01937 AMAT Quantum X 6
AA-1811 AMAT Filteration Control Chassis 9090-01060 0100-01938 0100-01937 AMAT Quantum X 5
AA-1827 Horiba STEC SEC-4400M Mass Flow Controller SiH2Cl2 500 SCCM SEC-4400 used works 2
AA-183 Ebara 305W Turbo Molecular Pump Controller Used Working 6
AA-183 Ebara 305W Turbo Molecular Pump Controller Used Working 16
AA-1831 Horriba STEC SEC-4500M Mass Flow Controller H2 10SLM used working 3
AA-1832 HoribaSTEC SEC-4500M Mass Flow Controller H2 20 SLM SEC-4500 used working 2
AA-1835 2005 Noah Precision Tank Bath SVG 90 S Fluid Temperature Controller 1
AA-1836 2005 Noah Precision Tank Bath SVG 90 S Fluid Temperature Controller discolored 1
AA-1845 MSE181E TDK Power Supply working 1
AA-1848 03-188692D01 ASM Epsilon 3200 HW INTRL E3000 Circuit Board REV C working 1
AA-1849 03-141884-01 03-320460D01 ASM Epsilon 3200 MFC I F Board 11 Circuit Board REV D 1
AA-1854 Vero PK60-III Monovolt Power Supply 116-010065J 15V 4A ASM Epsilon 3200 used 2
AA-1868 Gespac 03-322711A03 PCB Gesout-3 ASM Epsilon 3200 used working 1
AA-187 Ebara ET600W Turbo Molecular Pump Controller Used Working 25
AA-187 Ebara ET600W Turbo Molecular Pump Controller Used Working 18
AA-1873 Gespac GESADC-12A 9310 PCB GESPCB-334 ASM Epsilon 3200 03-323114A03 used working 1
AA-1889 Agilent 24208A Circuit Board Nikon NSR-S205C Amplifier Rack PCB Control Chassis 1
AA-1890 Nikon Sony EP-GW Circuit Board 4S018-852-3 1-677-707-13 BD29A Nikon NSR-S205C 1
AA-1899 Applied Materials Interlock Select Circuit Board 0100-00629 AMAT Endura RF Rack 1
AA-1899 Applied Materials Interlock Select Circuit Board 0100-00629 AMAT Endura RF Rack 1
AA-1900 AMAT 0100-01698 Source Magnet Control PCB Quantum X Beamline Rack used working 1
AA-1909 AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working 17
AA-1909 AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working 1
AA-1912 AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working 1
AA-1916 AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working 1
AA-1919 AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working 1
AA-1919 AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working 1
AA-1924 VAT 03112-LH24-ABG1 Slit Valve A-510093 ASM Epsilon 3200 (working) 2
AA-1929 Applied Materials 0130-76117 Circuit Board Gen Rack Indicator Rev 009 working 2
AA-1991 AMAT Applied Materials Gripper Claw 300mm 0040-84443 0040-80142 0040-03857 5
AA-1992 AMAT Applied Materials Gripper Claw 300mm 0040-84444 0040-80144 0040-80146 4
AA-200 Kyosan DC High Voltage Power Supply WB0214 working 20
AA-200 Kyosan DC High Voltage Power Supply WB0214 working 1
AA-200 Kyosan DC High Voltage Power Supply WB0214 working 12
AA-203 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 1
AA-203 Kikusui Electronics PMC18-2A 18V DC Power Supply TEL U2-855DD Unity II Used 3
AA-205 Power-One 405-331-00A (S483) Power Supply RPM5BCEJEHS483 Lot of 3 Used Working 1
AA-207 Power-One 405-324-00A (S471) Power Supply RPM5EDEDEFS471 Lot of 9 Used Working 1
AA-208 Power-One 405-235-00A (S378) Power Supply RPM5D5D5D5D5D5S?378 Lot of 3 Used works 1
AA-209 Power-One 405-239-00A (S382) Power Supply RPM5CUCTCTBES382 Lot of 2 Used Working 1
AA-2103 AMAT Applied Materials Electrode 0020-80664 0190-90758 0020-80667 working 2
AA-2115 Hitachi 564-5501 Circuit Board CHR IF Hitachi S-9380 working 1
AA-2115 Hitachi 564-5501 Circuit Board CHR IF Hitachi S-9380 working 1
AA-2115 Hitachi 564-5501 Circuit Board CHR IF Hitachi S-9380 working 1
AA-2115 Hitachi 564-5501 Circuit Board CHR IF Hitachi S-9380 working 1
AA-2119 Hitachi 568-5567 Circuit Board FA-I/O Hitachi S-9380 working 2
AA-2119 Hitachi 568-5567 Circuit Board FA-I/O Hitachi S-9380 working 1
AA-2119 Hitachi 568-5567 Circuit Board FA-I/O Hitachi S-9380 working 1
AA-2120 Hitachi 549-5501 Circuit Board SIO/DIST Hitachi S-9380 working 2
AA-2120 Hitachi 549-5501 Circuit Board SIO/DIST Hitachi S-9380 working 2
AA-2122 Hitachi 564-5528 Circuit Board PS DISP Hitachi S-9380 Scanning Electron Microsco 2
AA-2122 Hitachi 564-5528 Circuit Board PS DISP Hitachi S-9380 Scanning Electron Microsco 2
AA-2122 Hitachi 564-5528 Circuit Board PS DISP Hitachi S-9380 Scanning Electron Microsco 1
AA-2124 Edwards IGX6 Dry Vacuum Pump 1
AA-2126 Edwards NRB2-46-358 Dry Vacuum Pump IGX6/100L 200V new surplus 2
AA-2133 Tylan FC-2979MEP5-WM Mass Flow Control 1.5 SLPM O2 used working 27
AA-2134 Tylan FC-2979MEP5-WM Mass Flow Control 2 SLPM AR used working 13
AA-2135 TEL Regulator Cart Assembly new working 1
AA-2138 Nikon 2S014-038-2 Circuit Board MCR 25700-598 working 5
AA-2160 Nikon Optistation 7 Control Panel 2S017-450 SW-1/F1 KAB11050/3201A-0 2
AA-2160 Nikon Optistation 7 Control Panel 2S017-450 SW-1/F1 KAB11050/3201A-0 2
AA-2160 Nikon Optistation 7 Control Panel 2S017-450 SW-1/F1 KAB11050/3201A-0 1
AA-2166 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working 1
AA-2166 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working 2
AA-2166 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working 1
AA-2166 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working 1
AA-2166 Nikon Optistation 7 Circuit Board LDR-I/F KAB11320/3201-0 4S013-318 working 1
AA-2167 Nikon Optistation 7 Circuit Board POWER-I/F KAB11000/3901-0 4S013-317 working 1
AA-2167 Nikon Optistation 7 Circuit Board POWER-I/F KAB11000/3901-0 4S013-317 working 3
AA-2167 Nikon Optistation 7 Circuit Board POWER-I/F KAB11000/3901-0 4S013-317 working 1
AA-2167 Nikon Optistation 7 Circuit Board POWER-I/F KAB11000/3901-0 4S013-317 working 1
AA-2168 Nikon Optistation 7 Control Panel 2S017-449-(2S701-476) SW-I/F3 KAB 11050/3202B 1
AA-2168 Nikon Optistation 7 Control Panel 2S017-449-(2S701-476) SW-I/F3 KAB 11050/3202B 4
AA-2168 Nikon Optistation 7 Control Panel 2S017-449-(2S701-476) SW-I/F3 KAB 11050/3202B 1
AA-2169 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0 1
AA-2169 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0 1
AA-2169 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0 1
AA-2169 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0 1
AA-2169 Nikon Optistation 7 Control Panel 4S013-314 MOTOR-I/F KAB11005 KAB11005/502-0 2
AA-217 Novellus 02-15005-00 Module Controller AMAT 3260-0210 Used Working 2
AA-2170 Nikon 2S013-179 4S013-309-1 PCB MCR-I/F KAB11000/3803-0 Optistation 7 used works 1
AA-2170 Nikon 2S013-179 4S013-309-1 PCB MCR-I/F KAB11000/3803-0 Optistation 7 used works 1
AA-2170 Nikon 2S013-179 4S013-309-1 PCB MCR-I/F KAB11000/3803-0 Optistation 7 used works 1
AA-2170 Nikon 2S013-179 4S013-309-1 PCB MCR-I/F KAB11000/3803-0 Optistation 7 used works 1
AA-2173 ASML 4022.471.5463 Circuit Board working 7
AA-2173 ASML 4022.471.5463 Circuit Board working 1
AA-2174 ASML 4022.471.6555 Circuit Board working 1
AA-2175 Nikon 4S017-489-2 Circuit Board S12-EX3 working 1
AA-218 NSK EE0408C05-25 Motion Controller Used Working 1
AA-218 NSK EE0408C05-25 Motion Controller Used Working 8
AA-2182 Motorola 97911132 Circuit Board MVME 340B working 3
AA-2182 Motorola 97911132 Circuit Board MVME 340B working 1
AA-2183 AMAT Applied Materials 0110-20458 Circuit Board 0100-20458 0130-20458 0100-00210 2
AA-2184 Nikon Optistation 7 24098-1146 Arched Holder Z Axis KAB11240/A230-2 KAB11240/A24 1
AA-2184 Nikon Optistation 7 24098-1146 Arched Holder Z Axis KAB11240/A230-2 KAB11240/A24 3
AA-2184 Nikon Optistation 7 24098-1146 Arched Holder Z Axis KAB11240/A230-2 KAB11240/A24 3
AA-2199 Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working 1
AA-2199 Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working 3
AA-2200 Horiba STEC SEC-4400M Mass Flow Controller HC I 500 SCCM used working 3
AA-2201 STEC SEC-4400M Mass Flow Controller N2 5 SLM working 1
AA-2202 STEC SEC-7340BM Mass Flow Controller N2 10 LM working 7
AA-2206 Horiba STEC SEC-7330M Mass Flow Controller N2 1 LM working 7
AA-2207 Horiba STEC SEC-4500M Mass Flow Controller He 10SLM SEC-4500 used working 4
AA-2208 Horiba STEC SEC-7340BM Mass Flow Controller O2 10 LM working 5
AA-2214 STEC SEC-7330M Mass Flow Controller O2 1 LM working 3
AA-2215 SAM SFC480C Mass Flow Controller He 20 CCM working 1
AA-2216 UNIT UFC-8160 Mass Flow Controller N2 50 SLM working 5
AA-2219 Bronkhorst EL-FLOW Mass Flow Controller F-201C-HAD-88-V N2 10 Inlet used working 3
AA-2225 AMAT RadiSys WHC DR 300 0650-A0750 Computer 2A01640AA4A AMAT SemVision cX works 1
AA-2228 A to Z Electronics 50312460100 ETPS Assy AMAT SemVision cX used working 7
AA-2230 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working 2
AA-2230 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working 1
AA-2230 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working 1
AA-2230 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working 7
AA-2230 Lambda PA-3N-4-6SG-001 AC DC Power Supply AMAT SemVision cX working 2
AA-2232 Opal A to Z Electronics 50312350000 Controller 50312354000 Applied Material 2
AA-2232 Opal A to Z Electronics 50312350000 Controller 50312354000 Applied Material 2
AA-2236 Nikon NSR-S205C Robot Elevator UGQMEN-02MN041 4S018-649 4S013-349 working 1
AA-2239 Daihen RMN-20E2-V RF Auto Matcher 13.56MHz 2kW TEL T-3044SS Etcher used working 4
AA-2249 Nikon Right Wafer Cassette Carrier Elevator NSR-S204B Wafer Loader used working 6
AA-2255 Yaskawa Electronics Corp. XV-RCM2500T-4 Robot Rail Nikon Optistation 7 working 1
AA-2278 Yaskawa Electric XU-RCM5010 Robot Nikon NSR-S307E no end effector working 1
AA-2299 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works 1
AA-2299 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works 2
AA-2299 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works 1
AA-2299 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works 5
AA-2299 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works 1
AA-2299 TEC IZU Electronics VDBC0002201 Power Supply Nikon NSR-S307E Wafer Loader works 1
AA-2300 Opal 50312560000 Circuit Board CCS Board AMAT SemVision cX 300mm used works 1
AA-2300 Opal 50312560000 Circuit Board CCS Board AMAT SemVision cX 300mm used works 2
AA-2301 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working 3
AA-2301 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working 1
AA-2301 Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working 1
AA-2302 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working 1
AA-2302 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working 2
AA-2302 Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working 1
AA-2303 Opal MIS2 Board 30612550000 Circuit Board AMAT SemVision cX 300mm used working 3
AA-2306 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working 1
AA-2306 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working 1
AA-2306 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working 1
AA-2306 Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working 1
AA-2308 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works 1
AA-2308 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works 3
AA-2308 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works 4
AA-2308 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works 2
AA-2308 AMAT SemVision PCB1248913 Circuit Board 13811 Applied Materials SemVision cX works 3
AA-2309 Oram Power Supply LPS850 AMAT SemVision cX working 1
AA-2309 Oram Power Supply LPS850 AMAT SemVision cX working 2
AA-2309 Oram Power Supply LPS850 AMAT SemVision cX working 3
AA-2309 Oram Power Supply LPS850 AMAT SemVision cX working 1
AA-2310 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used 1
AA-2310 Hitachi CR-712V Wafer Transfer Clean Robot No End Effector Sanki M-712E Used 2
AA-2312 Sanken PS-200S Power Supply TEL Tokyo Electron P-8 Used Working 1
AA-2318 Xycom Automation Pro Face 1502-C000000000B0A Touch Screen XT 1502 Axcelis Fusion 1
AA-2320 TEL ECC2 Controller MC Rack 3D80-00766-V2 TEL T-3044SS 4
AA-2320 TEL ECC2 Controller MC Rack 3D80-00766-V2 TEL T-3044SS 1
AA-2320 TEL ECC2 Controller MC Rack 3D80-00766-V2 TEL T-3044SS 3
AA-2328 Nikon WL3M0T5 Circuit Board 4S007-953-A Nikon NSR-S204B Wafer Loader working 2
AA-2329 Nikon WL3SEN4 Circuit Board 4S018-567-A Nikon NSR-S204B Wafer Loader used works 3
AA-2329 Nikon WL3SEN4 Circuit Board 4S018-567-A Nikon NSR-S204B Wafer Loader used works 1
AA-2339 Power Ten Supply 5800R-20/10 61987 working 1
AA-234 National Display Systems 90X0077 Display DM-3S12/ZN Used Working 1
AA-2348 Lexel 00-143-502 Y-11 Laser 85-S working 2
AA-235 Asyst 9700-8106-01 SMIF-300FL Load Port 300FL S2.1 HAMA ROX Rev A used working 4
AA-2369 Control Concepts 3095-1017 SCR Power Controller 0190-03672 working 1
AA-237 LAM Research 852-017750-001 Remote used untested as-is 3
AA-237 LAM Research 852-017750-001 Remote used untested as-is 1
AA-237 LAM Research 852-017750-001 Remote used untested as-is 1
AA-237 LAM Research 852-017750-001 Remote used untested as-is 1
AA-2372 Oriental Vexta FMLM5120W-GFB22 DC Motor GFB5610 working 1
AA-2373 AMAT 0090-91694 PCB Chassis AMAT Quantum working 2
AA-2374 Pioneer Magnetics PM 2973A-2-5 Power Supply 119151 (Lot of 6) working 1
AA-2375 Lucas Labs OVDS-7010 Reference Module working 1
AA-2377 Sankan Electric MLT-DCBOX5 Power Supply (Lot of 5) untested 1
AA-2377 Sankan Electric MLT-DCBOX5 Power Supply (Lot of 5) untested 3
AA-2402 Nikon Z-4B1A-A1402 Wafer Loader Cassette Elevator Nikon NSR-S307E Wafer Loader 1
AA-2411 Nikon 4S588-085 IHS Linear Motor Controller SPA256B Nikon NSR-S307E Wafer Loader 1
AA-2412 Nikon 4S587-469-3 Linear Motor Controller SPA452A Nikon NSR-S307E Wafer Loader 1
AA-2416 Nikon 4S587-625 AVIS2 ERG AMP SEA241A Nikon NSR-S307E used working 1
AA-2422 Nikon 4S018-693 FPIF-IMAC Circuit Board Nikon NSR-S307E Wafer Loader working 1
AA-2436 Digital Dynamics 27-053660-00N Sioc Power Supply working 1
AA-2436 Digital Dynamics 27-053660-00N Sioc Power Supply working 3
AA-2438 TDK RAW24-16R Power Supply RAW350W 47A05648F (lot of two) working FA107-1 1
AA-2438 TDK RAW24-16R Power Supply RAW350W 47A05648F (lot of two) working FA107-1 1
AA-2448 Edwards VAT B90002031 Pneumatic Gate Valve used working 3
AA-2458 AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working 9
AA-2458 AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working 5
AA-2459 AMAT 9010-01379 Celerity Gas Card AsH3/PH3 AMAT Quantum X Gas Box working 8
AA-2459 AMAT 9010-01379 Celerity Gas Card AsH3/PH3 AMAT Quantum X Gas Box working 13
AA-2469 AMAT 9090-00846 Beamline Power Distribution Unit Rev C AMAT Quantum X used works 2
AA-2470 AMAT 1140-00J07 PX80L Beamline 24V PSU Control Chasis AMAT Quantum X Cntrl Rack 17
AA-2476 AMAT 0100-01844 Charge Voltage Circuit Board AMAT Quantum X Beamline Contrl Rack 1
AA-2478 Power-One RPM5C5C5C5C5C5CS652 Power Supply working 1
AA-2478 Power-One RPM5C5C5C5C5C5CS652 Power Supply working 1
AA-2486 TDK RAW12-14R Power Supply RAWA175W working 1
AA-2486 TDK RAW12-14R Power Supply RAWA175W working 2
AA-2488 Hitachi High Tech. 3-843646-01 Ceramic Insulation Ring Hitachi M-712 Etcher new 2
AA-2488 Hitachi High Tech. 3-843646-01 Ceramic Insulation Ring Hitachi M-712 Etcher new 5
AA-2493 Hitachi High Tech. 2-A00624-90 300mm DZ-ISL Electrode Cover Hitachi M-712 Etcher 2
AA-2493 Hitachi High Tech. 2-A00624-90 300mm DZ-ISL Electrode Cover Hitachi M-712 Etcher 2
AA-2494 Hitachi High Technologies 3-849438-90 M712 Earth Shield Hitachi M-712 Etcher new 1
AA-2494 Hitachi High Technologies 3-849438-90 M712 Earth Shield Hitachi M-712 Etcher new 2
AA-2496 Hitachi D4EX35890 Kokusai Teach Pendant working 1
AA-2499 TDK RAW24-16R Power Supply RAW350W Nikon NSR-S205C used working 1
AA-2508 STEC SEC-7350BM Mass Flow Controller N2O 20 LM working 2
AA-2509 HoribaSTEC SEC-7340BM Mass Flow Controller H2 10 LM working 3
AA-2510 STEC UR-7340MC Air Pressure Regulator 0-500kpa 5LM working 9
AA-2512 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working 4
AA-2512 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working 1
AA-2512 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working 7
AA-2512 Edwards 1570 Pressure Monitor Analog Out 100V W60730000 used working 9
AA-2516 Sanyo Denki PMM-BA-6503-8 Drive used working 1
AA-2521 HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack used working 8
AA-2525 Daifuku OPC-2603A PCB Kokusai Vertron used working 2
AA-2525 Daifuku OPC-2603A PCB Kokusai Vertron used working 1
AA-2525 Daifuku OPC-2603A PCB Kokusai Vertron used working 1
AA-2525 Daifuku OPC-2603A PCB Kokusai Vertron used working 2
AA-2525 Daifuku OPC-2603A PCB Kokusai Vertron used working 3
AA-2525 Daifuku OPC-2603A PCB Kokusai Vertron used working 1
AA-2530 ASM 2411148-01 Rev-A Circuit Board 1
AA-2531 ASM 2911779-21 Rev-A Circuit Board ESIGMA WK0705 1
AA-2532 ASM 2541130-01 Rev-A Circuit Board 1
AA-2532 ASM 2541130-01 Rev-A Circuit Board 1
AA-2532 ASM 2541130-01 Rev-A Circuit Board 3
AA-2532 ASM 2541130-01 Rev-A Circuit Board 1
AA-2532 ASM 2541130-01 Rev-A Circuit Board 2
AA-2533 ASM 2853957-21 Rev-A1 Circuit Board 2506-491-01 Rev-A 1
AA-2533 ASM 2853957-21 Rev-A1 Circuit Board 2506-491-01 Rev-A 3
AA-2533 ASM 2853957-21 Rev-A1 Circuit Board 2506-491-01 Rev-A 2
AA-2533 ASM 2853957-21 Rev-A1 Circuit Board 2506-491-01 Rev-A 2
AA-2534 TEL TKB7031 Circuit Board IO Spin MTR #02 TEB207-12 OGSI EC80-000157-12 2
AA-2534 TEL TKB7031 Circuit Board IO Spin MTR #02 TEB207-12 OGSI EC80-000157-12 1
AA-2536 TEL HA-015 Circuit Board RY CHEM #02 working 1
AA-2536 TEL HA-015 Circuit Board RY CHEM #02 working 1
AA-2536 TEL HA-015 Circuit Board RY CHEM #02 working 1
AA-2537 Omron G9SC-140-TE2 Circuit Board RY Spin MTR #02 working 1
AA-2537 Omron G9SC-140-TE2 Circuit Board RY Spin MTR #02 working 4
AA-2537 Omron G9SC-140-TE2 Circuit Board RY Spin MTR #02 working 5
AA-254 AMAT Applied Materials 0090-91685ITL Chassis Used Working 1
AA-2540 Sanyo Denki PM-UPD1S07-20 PM Driver working 1
AA-2540 Sanyo Denki PM-UPD1S07-20 PM Driver working 2
AA-2540 Sanyo Denki PM-UPD1S07-20 PM Driver working 3
AA-2542 TEL E280-000004-14 Circuit Board TEL T-3044SS Etcher working 1
AA-2542 TEL E280-000004-14 Circuit Board TEL T-3044SS Etcher working 2
AA-2543 TEL EC80-000163-21 Circuit Board TEB110-11/PCL ECC2 Controller TEL T-3044SS used 1
AA-2543 TEL EC80-000163-21 Circuit Board TEB110-11/PCL ECC2 Controller TEL T-3044SS used 2
AA-2544 TEL EC80-000117-21 Circuit Board TEB108-11 SIO TEL T-3044SS Etcher working 1
AA-2546 TEL E280-000014-12 Circuit Board E2B011-11/BP ECC2 TEL T-3044SS used working 1
AA-2546 TEL E280-000014-12 Circuit Board E2B011-11/BP ECC2 TEL T-3044SS used working 2
AA-2547 TEL E280-000011-13 Circuit Board E2B003-11/PSD ECC2 TEL T-3044SS used working 1
AA-2547 TEL E280-000011-13 Circuit Board E2B003-11/PSD ECC2 TEL T-3044SS used working 2
AA-2548 TEL E280-000015-13 Circuit Board E2B012-11 FDEX TEL T-3044SS Etcher working 1
AA-2549 TEL A202989 Circuit Board TEL T-3044SS Etcher working 1
AA-2549 TEL A202989 Circuit Board TEL T-3044SS Etcher working 2
AA-2550 TEL 967106-00 Circuit Board TEL T-3044SS Etcher working 1
AA-2550 TEL 967106-00 Circuit Board TEL T-3044SS Etcher working 1
AA-2551 TEL E280-000016-32 Circuit Board E281-000016-32 TEL T-3044SS Etcher working 1
AA-2552 TEL E280-000008-11 Circuit Board TEL T-3044SS Etcher working 1
AA-2552 TEL E280-000008-11 Circuit Board TEL T-3044SS Etcher working 2
AA-2553 TEL 3D81-000046-V2 Circuit Board TYB61L-1/ELIF TEL T-3044SS Etcher working 2
AA-2553 TEL 3D81-000046-V2 Circuit Board TYB61L-1/ELIF TEL T-3044SS Etcher working 2
AA-2553 TEL 3D81-000046-V2 Circuit Board TYB61L-1/ELIF TEL T-3044SS Etcher working 2
AA-2554 TEL Circuit Board ASSY 3D81-000040-V2 3D81-000041-V2 3D81-000020-V3 TEL T-3044SS 1
AA-2554 TEL Circuit Board ASSY 3D81-000040-V2 3D81-000041-V2 3D81-000020-V3 TEL T-3044SS 1
AA-2554 TEL Circuit Board ASSY 3D81-000040-V2 3D81-000041-V2 3D81-000020-V3 TEL T-3044SS 1
AA-2555 TEL Circuit Board ASSY 3D81-000044-V3 3D81-000045-V2 3D81-000020-V3 TEL T-3044SS 5
AA-2556 Meiden UT203 001A SW100 Router TEL T-3044SS Etcher working 7
AA-2558 Systech Berkeley BX-RSS-2-A Gateway AMAT Quantum X Factory Interface working 12
AA-2559 Berkeley 300-000-666 Circuit Board PX13C AMAT Quantum X Factory Interface 13
AA-2565 Tripp Lite Minicom Phantom MX II KVM Switch 1SU52022 Rev. 1.1 AMAT Quantum X 12
AA-2567 AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working 1
AA-2567 AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working 1
AA-2568 AMAT AS01391-21 Circuit Board CDN391R 01391-01 AMAT Endura 300mm working 1
AA-2568 AMAT AS01391-21 Circuit Board CDN391R 01391-01 AMAT Endura 300mm working 1
AA-2569 AMAT 0100-00574 Circuit Board PVD IMP Chamber Interlock AMAT Endura 300mm 1
AA-2570 DIP 0190-01270 Circuit Board DIP-216-075 AMAT Endura 300mm working 2
AA-2574 TEL T-3044SS PS1 T-HV Conditioner Box TEL T-3044SS Etcher working 1
AA-2575 TEL T-3044SS PS2 T-HV Conditioner Box TEL T-3044SS Etcher working 2
AA-2576 TEL T-3044SS PS1 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working 5
AA-2576 TEL T-3044SS PS1 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working 2
AA-2577 TEL T-3044SS PS2 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working 6
AA-2577 TEL T-3044SS PS2 RF Pump Box TYB62F-1/PUMP TEL T-3044SS Etcher working 2
AA-2578 TEL T-3044SS PS Controller 3D81-000065-V1 3D81-000067-V1 TEL T-3044SS Etcher 3
AA-2579 AMAT Applied Materials 0010-22911 PVD Chamber Power Controller AMAT Endura 2
AA-2580 Yaskawa Nikon OptiStation 7 XU-CM2500 Power Supply KAB11320/201B-0 working 1
AA-2580 Yaskawa Nikon OptiStation 7 XU-CM2500 Power Supply KAB11320/201B-0 working 1
AA-2580 Yaskawa Nikon OptiStation 7 XU-CM2500 Power Supply KAB11320/201B-0 working 3
AA-2581 Nikon KAB11010/3101-0 Power Box OptiStation 7 working 1
AA-2581 Nikon KAB11010/3101-0 Power Box OptiStation 7 working 5
AA-2582 Pearl Kogyo ES7-IIA High Voltage Power Supply Hitachi MU-712E used working 2
AA-2582 Pearl Kogyo ES7-IIA High Voltage Power Supply Hitachi MU-712E used working 1
AA-2582 Pearl Kogyo ES7-IIA High Voltage Power Supply Hitachi MU-712E used working 1
AA-2584 AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller AMAT Endura 2
AA-2585 AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm 1
AA-2586 AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm 2
AA-2587 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm 2
AA-2587 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm 1
AA-2587 AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm 1
AA-2588 AMAT 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm used as-is 2
AA-2589 AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm 1
AA-2590 AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack 13
AA-2590 AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack 1
AA-2595 TEL 3D80-000766-V3 ECC2 Controller MC Rack TEL T-3044SS Module Cont Box working 6
AA-2595 TEL 3D80-000766-V3 ECC2 Controller MC Rack TEL T-3044SS Module Cont Box working 1
AA-2595 TEL 3D80-000766-V3 ECC2 Controller MC Rack TEL T-3044SS Module Cont Box working 1
AA-2597 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working 1
AA-2597 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working 1
AA-2597 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working 1
AA-2597 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working 2
AA-2597 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working 2
AA-2597 TEL 3D81-000063-V5 PCB TYB517-1/I0AS TEL T-3044SS Etcher used working 13
AA-2598 TEL 3D81-000067-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2598 TEL 3D81-000067-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 2
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2599 TEL 3D81-000095-V1 Circuit Board TEL T-3044SS Etcher working 1
AA-2600 TEL 3D81-000020-V3 Circuit Board TEL T-3044SS Etcher working 3
AA-2600 TEL 3D81-000020-V3 Circuit Board TEL T-3044SS Etcher working 1
AA-2600 TEL 3D81-000020-V3 Circuit Board TEL T-3044SS Etcher working 4
AA-2601 TEL 3D81-000018-V2 Circuit Board TYB512-1/IOMT TEL T-3044SS Etcher working 1
AA-2601 TEL 3D81-000018-V2 Circuit Board TYB512-1/IOMT TEL T-3044SS Etcher working 1
AA-2601 TEL 3D81-000018-V2 Circuit Board TYB512-1/IOMT TEL T-3044SS Etcher working 1
AA-2601 TEL 3D81-000018-V2 Circuit Board TYB512-1/IOMT TEL T-3044SS Etcher working 1
AA-2602 TEL 3D81-000017-V3 Circuit Board TYB511-1/IOAS TEL T-3044SS Etcher working 1
AA-2602 TEL 3D81-000017-V3 Circuit Board TYB511-1/IOAS TEL T-3044SS Etcher working 2
AA-2602 TEL 3D81-000017-V3 Circuit Board TYB511-1/IOAS TEL T-3044SS Etcher working 5
AA-2603 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working 5
AA-2603 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working 1
AA-2603 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working 1
AA-2603 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working 2
AA-2603 TEL 3D81-000097-V1 Circuit Board TYB514-1/IO48 TEL T-3044SS Etcher working 8
AA-2604 AMAT Applied Materials 0200-08569 Insulator Quartz 300mm PCII 2.0 New Surplus 1
AA-2605 AMAT Applied Materials 0100-00546 Circuit Board AMAT Endura 300mm working 1
AA-2605 AMAT Applied Materials 0100-00546 Circuit Board AMAT Endura 300mm working 1
AA-2607 TEL 3D81-000099-V1 Circuit Board TYB622-1/GAS2 TEL T-3044SS Etcher working 3
AA-2608 TEL 3D81-000004-V2 Circuit Board TYB622-1/GAS2 TEL T-3044SS Etcher working 4
AA-2609 TEL 3D81-000100-V1 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working 4
AA-2609 TEL 3D81-000100-V1 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working 1
AA-261 AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working 1
AA-261 AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working 1
AA-2610 TEL 3D81-000037-V2 Circuit Board TYB62D-1/PS2 TEL T-3044SS Etcher working 3
AA-2610 TEL 3D81-000037-V2 Circuit Board TYB62D-1/PS2 TEL T-3044SS Etcher working 3
AA-2611 TEL 3D81-000036-V2 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working 1
AA-2611 TEL 3D81-000036-V2 Circuit Board TYB61E-1/PS1 TEL T-3044SS Etcher working 1
AA-2612 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 3
AA-2612 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 1
AA-2612 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 2
AA-2612 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 2
AA-2612 TEL 3D81-000101-V1 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 1
AA-2613 TEL 3D81-000030-V2 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 1
AA-2617 TEL 3D81-000030-V2 Circuit Board TYB61B-1/GAS1 TEL T-3044SS Etcher working 5
AA-2618 TDK RAW24-31R Power Supply 47A08020F TEL T-3044SS Etcher working 8
AA-2624 Daifuku CRM-3418A Circuit Board DNS Screen FC-3000 working 1
AA-2626 Omron S8PS-30024C Power Supply Lot of 10 used working 1
AA-2629 AMAT Applied Materials 9090-00115 Chassis Monitor PCB 0100-01-252 AMAT Quantum X 13
AA-2635 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working 38
AA-2635 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working 6
AA-2635 DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working 14
AA-2636 AMAT Applied Materials 9090-01166 Battery Pack PX32K AMAT Quantum X 1
AA-2636 AMAT Applied Materials 9090-01166 Battery Pack PX32K AMAT Quantum X 2
AA-2637 AMAT Applied Materials 9090-00641 Battery Pack AMAT Quantum X Process Module 2
AA-2639 AMAT 9090-01168 Electrostatic Chuck DC Power Supply PX32J Rev C Quantum X 1
AA-2640 AMAT 9091-00639 Electrostatic Chuck DC Power Supply PX32J AMAT Quantum X 1
AA-2641 AMAT 9090-01168 Electrostatic Chuck DC Power Supply PX32J Rev A AMAT Quantumx X 7
AA-2643 AMAT 9090-01247 Beam Current Measurement Module RX/TX AMAT Quantum X 14
AA-2646 Progressive Technologies 12612G01 Exhaust Blower Sentry 1000 TEL Act 12 1
AA-2654 AMAT 9090-00442 Decel PSU Resistor Assembly A 9010-01409 AMAT Quantum X used 2
AA-2663 TEL Shutter DEPO Y-AL SE 3D10-100843-V1 2
AA-2672 Fujikin FCSP7102-4WS1-F2L-A3-NFN Mass Flow Controller P7000 T-3044SS used works 3
AA-2675 Fujikin FCSP7102-4WS1-F30-A3-NFN Mass Flow Controller P7000 T-3044SS used works 3
AA-2677 Fujikin FCS-4WS-798-F30#B Mass Flow Controller O2 FCS6 TEL T3044SS used working 13
AA-2678 Fujikin FCS-4WS-798-F30#B Mass Flow Controller CH2F2 FCS3 TEL T-3044SS used work 18
AA-2680 Fujikin FCS-4WS-798-F1L#B Mass Flow Controller NF3 FCS11 TEL T-3044SS used works 2
AA-2681 Fujikin FCS-4WS-798-F1L#B Stec Mass Flow Controller FCS10 2
AA-2682 Fujikin FCS-4WS-798-F850#B Mass Flow Controller O2 FCS7 TEL T-3044SS used works 21
AA-2683 Fujikin FCS-4WS-798-F39B#B Mass Flow Controller C4F8 TEL T-3044SS used working 15
AA-2684 Mykrolis Tylan FC-2979MEP5-WM Stec Mass Flow Controller 2979M 5
AA-2685 Panasonic DV83090HA513 AC Servo Driver 5
AA-2686 Sanyo Denki PY2B050C62S8P01 Servo Amplifier used working 7
AA-2705 Leybold Vacuum 15730 Vacuum Trigger Sensor TTR211S AMAT Quantum X used working 3
AA-2705 Leybold Vacuum 15730 Vacuum Trigger Sensor TTR211S AMAT Quantum X used working 7
AA-2706 AMAT 9090-00785 Electrode Assembly Rev B AMAT Qauntum X used working 3
AA-2713 Inficon 399-001 Vacuum Switch VSA100A AMAT Quantum X Process Module used working 29
AA-2713 Inficon 399-001 Vacuum Switch VSA100A AMAT Quantum X Process Module used working 2
AA-2713 Inficon 399-001 Vacuum Switch VSA100A AMAT Quantum X Process Module used working 10
AA-2718 TDK RAW24-31R Power Supply 47A08020F TEL T-3044SS Etcher working 2
AA-2719 AMAT Applied Materials 0200-00673 8 2
AA-2728 AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm 1
AA-2733 AMAT Applied Materials 0021-12764 Upper Shield 8in SIP-TA AMAT Endura 200mm 2
AA-2734 AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed 200mm S 2
AA-2738 AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA AMAT Endura 200mm 2
AA-2741 AMAT Applied Materials 0021-12763 Inner Shield 8in SIP TA AMAT Endura 200mm 2
AA-2742 RKC TEL 3D80-000090-V5 Power Supply Temperature Controller RCB-12 TEL T-3044SS 7
AA-2742 RKC TEL 3D80-000090-V5 Power Supply Temperature Controller RCB-12 TEL T-3044SS 6
AA-2743 Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used 8
AA-2743 Kuroda SPCBUA2-20-40-ZV Wafer Robot TEL 3D80-000008-V4 No End Effector Used 5
AA-2744 TEL T-3044SS 3D80-000009-V4 Wafer Transfer Arm Cylinder SPCBUA2-20-16-Z?V 3
AA-2746 Edwards Helios 500032061 EPI Bypass Valve Assembly 2
AA-2747 Edwards Helios Y12201027 Combustion Chamber Head 500052685 1
AA-2748 Edwards Helios Y12201000 Combustion Chamber Head 500052685 1
AA-2749 Edwards Helios 500052685 Combustion Chamber Head 1
AA-2750 Edwards Helios Y12501169 Combustion Chamber Head 500052685 3
AA-2756 Novellus Vector 03-417236-00 PCA GEN II FE INTF PCB C3VCTR 300mm 2
AA-2762 Novellus 15-311164-00 Ring Wafer Lift 300mm STD ID EC VCTR Novellus Vector 4
AA-2763 Novellus 16-132590-00N SHWRHD Pinned 300mm WLDMNT Novellus Vector 3
AA-2778 Nikon 4S019-058 PCB 1U-CTRL1 Nikon NSR-S307E 1
AA-2780 Microbar Trackmate Chemical Cabinet used working Track Mate Microbar Trackmate Chemical Cabinet used working 1
AA-2782 AMAT Applied Materials 9090-00314 Circuit Board daq Mk11 Type H 1
AA-2785 Agilent Z4381-60001 Phase Detector Circuit Board Nikon NSR 2
AA-2785 Agilent Z4381-60001 Phase Detector Circuit Board Nikon NSR 1
AA-2785 Agilent Z4381-60001 Phase Detector Circuit Board Nikon NSR 1
AA-2786 Nikon 4S586-946-1 SPA136A VCM Amplifier SPA136A Nikon NSR Series 1
AA-2787 Nikon 4S065-474 Control Circuit Board Assembly 4S008-292 Nikon NSR-S205C working 1
AA-2797 Novellus C3 Vector Cable Kit 03-252223-00 03-291555-00 (7 In All) See Specifics 1
AA-2802 AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit AMAT Endura 300mm 1
AA-2803 ASM 1045-426-01 SGL Element SUSC Heater Emerald being sold as is 8
AA-2814 SMC XLD-50-X638 Angle Isolation Valve 3D80-000326-V1 TEL T-3044SS 12
AA-2815 Hinds MOD 4022-436-87411 Dual PEM Control Card ASML 1
AA-2819 ASML 4022 471 5284 Circuit Board 1
AA-2820 ASML 4022 436 7114 Circuit Board 4
AA-2821 ASML 4022 437 0576 Circuit Board 1
AA-2822 ASML 4022 437 2993 PW CD Circuit Board 1
AA-2822 ASML 4022 437 2993 PW CD Circuit Board 3
AA-2823 Kniel 4022 436 23221 5V Power Supply CP 5.32/VME ASML 4
AA-2824 Kniel 4022 436 79311 24V Power Supply CP 24.1 ASML 1
AA-2825 Kniel 4022 436 86091 15V Power Supply CP 15.7,5 ASML 2
AA-2832 PULS 4022 47602011 Power Supply AP 377.500 ASML 3
AA-2836 Prodrive 4022 471 7412 PADC 100/16 Amplifier 6001-0303-5701 ASML 14
AA-2840 Kniel 4022 436 87251 5V/+-15V Power Supply FPM 0811 ASML 1
AA-2841 Kniel 4022 430 14741 15V Power Supply CP 15.3,5 ASML 1
AA-2843 Kniel 4022 436 86131 Power Supply CP 12.5 ASML 2
AA-2844 Kniel 4022 436 86882 Power Supply CPD 8.1,5/1 ASML 2
AA-2845 Kniel 4022 436 74321 3,3V Power Supply CP 3,3.20 ASML 2
AA-2846 Kniel 4022 436 72642 5V Power Supply CP 5.70/VME ASML 1
AA-2847 Agilent 4022 470 78501 Z4382A Combiner Interface Circuit Board N1224-60003 ASML 1
AA-2849 Agilent 4022 470 81312 Z4207C Number Cruncher ASML 1
AA-2849 Agilent 4022 470 81312 Z4207C Number Cruncher ASML 4
AA-2851 Agilent 4022 470 06582 Z4206A I/O Card Circuit Board Z4206-60003 ASML 1
AA-2856 ASML 4022 471 5658 Circuit Board ASML 1
AA-2857 Acromag AVME9675-2 Circuit Board ASML 1
AA-2858 Kniel 4022 436 55291 5V Power Supply CP 5.20/VME ASML 1
AA-2859 Kniel 4022 436 43072 5V Power Supply CP 5.77/VME ASML 1
AA-2860 Kniel 4022 436 57831 3,3V Power Supply CP 3,3.10 ASML 3
AA-2862 Power One 4022 471 7726 Power Supply DGP12U5S12 ASML 1
AA-2863 ASML 4022 471 7488 Power Supply S18 ASML 2
AA-2864 ASML 4022 471 7488 Power Supply S26 ASML 2
AA-2886 RGI Raster Graphics Inc 6000700-09A Circuit Board working 1
AA-2887 Computer Recognition Systems 8946BC302 VME Overlay Interface Circuit Board Works 1
AA-2888 Bio-Rad PME SIO-1 Circuit Board Working 002-1-23158-100 1
AA-2889 BIO-RAD Y5301267 Micromeasurements AIMS DC Servo Board Issue B 1
AA-2890 Bio-Rad Y5304803 Micromeasurements RATS Interface Board Issue C 1
AA-2891 Bio-Rad Y5304901 Micro Measurements DSF VME Interface Board Issue B 1
AA-2892 Blue Wave Systems DV44-50 Circuit Board 4022 471 6181 1
AA-2893 ASML 4022 471 4042 Circuit Board 4
AA-2894 ASML 4022 471 4684 Circuit Board 1
AA-2900 CTI 0190-12086 P300 Cryopump On-Board 8113212G001 P300 Module Being Sold As Is 4
AA-2901 CTI 0190-27350 P300 Cryopump On-Board 8113192G001 P300 Module Being Sold As Is 3
AA-2901 CTI 0190-27350 P300 Cryopump On-Board 8113192G001 P300 Module Being Sold As Is 1
AA-2903 CTI 8116250G001 P300 Cryopump On-Board 8113192G001 P300 Module Being Sold As Is 1
AA-2905 CTI 0190-12085 P300 Cryopump On-Board 8113162G001 P300 Module Being Sold As Is 2
AA-2905 CTI 0190-12085 P300 Cryopump On-Board 8113162G001 P300 Module Being Sold As Is 1
AA-2906 AE Advanced Energy 27-368450-00 Navigator RF Match 3155162-037 B Used Working 1
AA-2906 AE Advanced Energy 27-368450-00 Navigator RF Match 3155162-037 B Used Working 1
AA-2910 AMAT Applied Materials 0100-01445 Circuit Board 0120-00415 AMAT System 1
AA-2915 A18079-C A/D Converter Board Circuit Board 1
AA-2917 Applied Materials 0020-26374 Clamp Middle Shield 300MM SIP CU En Revision 006 6
AA-2924 KLA Seagate 0116473-000 AA Cheetah 10K.7 73GB Hard Drive ST373207LW 2
AA-2929 HORIBA STEC SEC-7340BM Mass Flow Controller NF3 2 LM working 16
AA-2930 HORIBA STEC SEC-7330M Mass Flow Controller O2 300 CCM working 18
AA-2931 HORIBA STEC SEC-7330M Mass Flow Controller NH3 1 LM working 14
AA-2932 HORIBA STEC SEC-7340BM Mass Flow Controller N2O 2 LM working 18
AA-2933 HORIBA STEC SEC-7340BM Mass Flow Controller N2 5 LM working 18
AA-2935 Horiba STEC SEF-8240SM-UC Mass Flow Meter SEF-8240 BTBAS 200 CCM working 2
AA-2942 Testo 645 Probe Handheld Digital Readout 0560 6450 new surplus 1
AA-2943 Yamada AD-25TT Pulsation Damper 100 PSI 030852879EMIA working 1
AA-2944 Yamada AD-25TT Pulsation Damper 100 PSI 030852879EMIS sold as is 1
AA-2945 Yamada AD Series Pulsation Damper 100 PSI used working 1
AA-2946 Yamada AD-25TT Pulsation Damper 100 PSI 030852879EMIS sold as is 1
AA-295 AMAT Source Assy Encore 2 Cu 300mm c 0010-27504 0190-13851 Vexta BXM6400-B1 1
AA-2967 Hitachi Kokusai Electric DN-150A Gate Drive Unit Rev 1 used working 16
AA-2967 Hitachi Kokusai Electric DN-150A Gate Drive Unit Rev 1 used working 7
AA-2968 Hitachi Kokusai CX3202 Furnace Gas Controller Rev A used working 2
AA-2968 Hitachi Kokusai CX3202 Furnace Gas Controller Rev A used working 3
AA-2970 TEL ES3D10-2500934-V1 Focus Ring, 360-302 T3.4 new surplus 2
AA-2971 TEL ES3D10-250834-V1 CEL, OX T10-75-C912 (COC-N) new surplus 2
AA-2972 TEL ES3D10-150450-V1 Ring, BTM Shield EXHP-UP new surplus 2
AA-2973 TEL ES3D05-350119-V1 Insulator, ESC EXHP-UP new surplus 2
AA-2974 TEL ES3D05-250476-V1 Holder, Insulator 290-381-9Q new surplus 2
AA-2976 Kokusai Electric Heater 1 & Heater 2 Temperature Controller Hitachi Zestone Used 8
AA-2976 Kokusai Electric Heater 1 & Heater 2 Temperature Controller Hitachi Zestone Used 7
AA-2977 Soshin Electric NF2080A-RQ EMI Filter 250 VAC 50 A 50/60 Hz lot of 14 used works 1
AA-2979 Hitachi Kokusai Pump Alarm Kokusai Zestone DD-1203V 300mm used working 9
AA-2979 Hitachi Kokusai Pump Alarm Kokusai Zestone DD-1203V 300mm used working 1
AA-2980 Kokusai Zestone DD-1203V 300mm Relay Switch Chassis used working 1
AA-2981 Panasonic Omron HC H4CE-L-DC24V H3Y-4 Relay (Quantity 18)(Quantity 7) working 14
AA-2982 Kokusai Zestone DD-1203V 300mm Control Chassis IL100A(32) used working 7
AA-2982 Kokusai Zestone DD-1203V 300mm Control Chassis IL100A(32) used working 2
AA-2984 Kokusai IL100B (32) Control Chassis Zestone DD-1203V 300mm used working 1
AA-2984 Kokusai IL100B (32) Control Chassis Zestone DD-1203V 300mm used working 2
AA-2986 Kokusai Zestone DD-1203V 300mm Control Chassis IL100(32) used working 1
AA-2998 AMAT 0010-11228 Revision 05 PVD Magnet, LP-3.7.3 300mm Endura 300mm new surplus 1
AA-3027 Nikon Air Sampling Regulator Assembly Nikon NSR-S204B used working 1
AA-3031 Panasonic ADKB400BPFADA AC Servo Drive w/ KJIU0304 DPKSU5V-0 PCB Lot of 14 as-is 2
AA-3032 NSK EMLZ10CF1-01 Servo Drive used working 1
AA-3032 NSK EMLZ10CF1-01 Servo Drive used working 1
AA-3039 ASML 4022.480.66105 Lamp Module Twinscan XT:1250 used as-is 1
AA-304 MRC Eclipse Asyst 368789-0 Theta Arm Set with Controller Board 06764 802 Used 2
AA-3040 ASML 4022.470.5314 Module SHB-BF II Twinscan XT:1250 used working 2
AA-3041 ASML 4022.470.2016 Error Display Module Twinscan XT:1250 used as-is 1
AA-3045 ASML 4022.480.47751 AT RS Interface Box SU 4022.480.4775 XT:1250 used working 1
AA-3047 Agilent N1204C Precision Horizontal Beam Bender ASML 4022.481.25862 used working 2
AA-305 MRC Eclipse Asyst 06764 001 Vacuum Arm Assembly With Arm Controller Board 023092 2
AA-3066 Tokyo Electron Limited TEL T-3044SS Process Chamber Parts Kit used working 1
AA-3066 Tokyo Electron Limited TEL T-3044SS Process Chamber Parts Kit used working 1
AA-3067 TEL BX80-000063-11 ECC2 Module Controller Box MC Rack T-3044SS used working 2
AA-3068 Fujikin FPR-SDA-21-6.35UGF-APD Type NC Diaphragm Valve 091400 Lot of 12 used 1
AA-3071 Fujikin FPR-SDAT-21-6.35UGF-APD Type NC Diaphragm Valve 091404 Lot of 12 used 1
AA-3072 Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 15 used 9
AA-3074 Fujikin FCS-4WS-798-F30#B Mass Flow Controller NF3 FCS2 TEL T-3044SS used works 4
AA-3075 Fujikin FCS-4WS-798-F2L#B Mass Flow Controller CF4 CO2 FCS4 TEL T-3044SS used 1
AA-3076 Fujikin FCS-4WS-798-F160#B Mass Flow Controller TEL T-3044SS used works 18
AA-3077 Fujikin FCS-4WS-798-F2L#B Mass Flow Controller Ar TEL T-3044SS used working 16
AA-3078 MKS 51B13TCA2BA100 13.332 kPa Trip Baratron Pressure Switch Lot of 2 used works 5
AA-3079 MKS 51B13TCA2BA700 93.324 kPa Trip Baratron Pressure Switch Lot of 2 used works 7
AA-3081 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working 2
AA-3081 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working 1
AA-3081 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working 1
AA-3081 TEL 2L81-050065-V1 PCB TYB517-1/IOAS-LF TEL T-3044SS Etcher used working 3
AA-3082 Tokyo Electron Limited 3D81-050029-V1 PCB TYB62D-4/PS2 TEL T-3044SS Etcher used 1
AA-3082 Tokyo Electron Limited 3D81-050029-V1 PCB TYB62D-4/PS2 TEL T-3044SS Etcher used 1
AA-3082 Tokyo Electron Limited 3D81-050029-V1 PCB TYB62D-4/PS2 TEL T-3044SS Etcher used 1
AA-3083 Tokyo Electron Limited 3D81-000036-18 PCB TYB61E-1/PS1 TEL T-3044SS Etcher used 1
AA-3083 Tokyo Electron Limited 3D81-000036-18 PCB TYB61E-1/PS1 TEL T-3044SS Etcher used 1
AA-3083 Tokyo Electron Limited 3D81-000036-18 PCB TYB61E-1/PS1 TEL T-3044SS Etcher used 1
AA-3084 Tokyo Electron Limited 3D81-050030-V1 PCB TYB622-1/GAS2 TEL T-3044SS Etcher used 1
AA-3084 Tokyo Electron Limited 3D81-050030-V1 PCB TYB622-1/GAS2 TEL T-3044SS Etcher used 1
AA-3084 Tokyo Electron Limited 3D81-050030-V1 PCB TYB622-1/GAS2 TEL T-3044SS Etcher used 1
AA-3087 TEL 3D80-001488-V2 Power Supply & Distribution Assy T-3044SS Etcher used working 8
AA-3089 Digital Electronics GLC2300-TC41-24V Pro-Face 6� Touch Panel 2980070-12 used 9
AA-3092 ASML 4022.470.8445 SSD PCB Module ASML Twinscan XT:1250 used working 1
AA-3094 Edwards C31315000 Isolation Valve w/ D02384000 Pirani Gauge used working 1
AA-3095 Edwards C31315000 Isolation Valve w/ D02182000 Pirani Gauge used working 2
AA-3096 Edwards C31315000 Isolation Valve w/ D02182000 Pirani Gauge used as-is 1
AA-3097 Edwards W65531611 Barocel Pressure Sensor 655AB TRANS 100TR CAJON8VCR used works 10
AA-3098 ASML 4022.470.8086 RSLNSCOOSB PCB Module 4022.471.7071 Twinscan XT:1250 used 1
AA-3099 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 2
AA-3099 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 2
AA-3099 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 1
AA-3099 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 1
AA-3099 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 1
AA-310 MRC Eclipse Front Plane Heat 300mm Used Working 1
AA-3101 Kokusai Zestone F630300350-C059 Wafer Transfer Assembly F630300350-C058 as-is 1
AA-3103 Kokusai 30" Linear Actuator Rail Assembly Kokusai Zestone DD-1203V used working 1
AA-3104 Kokusai FC630300350-C05?7 Wafer Transfer Assembly Zestone DD-1203V used as-is 1
AA-3105 Nissan Tanaka 30WVU Super Torr Manual Regulator Valve 466QU Lot of 3 used works 1
AA-3122 ANSUL AutoPulse 442R Agent Release Control System used working 1
AA-3122 ANSUL AutoPulse 442R Agent Release Control System used working 3
AA-3122 ANSUL AutoPulse 442R Agent Release Control System used working 4
AA-3122 ANSUL AutoPulse 442R Agent Release Control System used working 5
AA-3122 ANSUL AutoPulse 442R Agent Release Control System used working 1
AA-3123 Watec WAT-902H2 Supreme CCD Camera w/ Computar H3Z4512CS-IR Lens & Cables used 7
AA-3135 Oriental Motor K0366-D Brushless DC Motor Driver Lot of 2 used working 11
AA-3144 Chatillon Type 15 Mechanical Bench Scale Model BP15 400T 400 lbs capacity used 2
AA-315 Tadin TAL 815200000 TadiGuard Process and Machine Enchancer 006 Used Working 1
AA-3150 KLA-Tencor 0071149-001 UV Preamplifier Optical Assembly KLA AIT UV+ used as-is 1
AA-3150 KLA-Tencor 0071149-001 UV Preamplifier Optical Assembly KLA AIT UV+ used as-is 1
AA-3154 MKS Instruments 153D-20-40-2 Throttle Control Valve Type 153 used working 7
AA-3158 Edwards U20001186 Interface Module Applied Smart Pump MOD 410 AIM & EASON used 1
AA-3158 Edwards U20001186 Interface Module Applied Smart Pump MOD 410 AIM & EASON used 1
AA-316 MRC Eclipse A120024 Remote Sputtering System Used Working 1
AA-3191 Hitachi M-511E 200mm Wafer Cassette Ergo Loader used working 9
AA-3193 Hitachi M-511E Main Body Control Panel UI TE6036A7 used working 1
AA-3193 Hitachi M-511E Main Body Control Panel UI TE6036A7 used working 1
AA-3199 Aera TC FC-D980C Mass Flow Controller CI2 100 CCM w/ CA-98D9-Z Adapter used 1
AA-320 MRC Eclipse 022469 Asyst Gas PLC Controller used working 1
AA-3200 Hitachi M-05A2LS-400K RF Matching Box & Cable Hitachi M-511E used working 1
AA-3201 Aera TC FC-D980C Mass Flow Controller HBR 183.23 SCCM w/ CA-98D9-Z Adapter used 1
AA-3202 Aera TC FC-D980C Mass Flow Controller HBR 27.485 SCCM w/ CA-98D9-Z Adapter used 2
AA-3204 Aera TC FC-D980C Mass Flow Controller CL2 458.076 SCCM w/ CA-98D9-Z Adapter used 1
AA-3207 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working 9
AA-3207 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working 2
AA-3207 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working 3
AA-3207 Hitachi AO08-2 PCB Hitachi M-511E MC Unit used working 2
AA-3208 Hitachi PME02-1 PCB Hitachi M-511E MC Unit used working 2
AA-3208 Hitachi PME02-1 PCB Hitachi M-511E MC Unit used working 1
AA-3209 Hitachi EPDI-I PCB Hitachi M-511E MC Unit used working 5
AA-3209 Hitachi EPDI-I PCB Hitachi M-511E MC Unit used working 3
AA-3210 Hitachi AI16-2 PCB Hitachi M-511E MC Unit used working 9
AA-3210 Hitachi AI16-2 PCB Hitachi M-511E MC Unit used working 2
AA-3210 Hitachi AI16-2 PCB Hitachi M-511E MC Unit used working 3
AA-3211 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working 17
AA-3211 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working 1
AA-3211 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working 5
AA-3211 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working 2
AA-3211 Hitachi D024-2 PCB Hitachi M-511E MC Unit used working 3
AA-3212 Hitachi DIS1-2 PCB Hitachi M-511E MC Unit used working 16
AA-3212 Hitachi DIS1-2 PCB Hitachi M-511E MC Unit used working 7
AA-3212 Hitachi DIS1-2 PCB Hitachi M-511E MC Unit used working 2
AA-3213 Hitachi MM512-1 PCB Hitachi M-511E MC Unit used working 6
AA-3213 Hitachi MM512-1 PCB Hitachi M-511E MC Unit used working 3
AA-3214 Hitachi LAN1-4 PCB Hitachi M-511E MC Unit used working 5
AA-3214 Hitachi LAN1-4 PCB Hitachi M-511E MC Unit used working 2
AA-3214 Hitachi LAN1-4 PCB Hitachi M-511E MC Unit used working 1
AA-3215 Hitachi SBC09-2 PCB Hitachi M-511E MC Unit used working 6
AA-3215 Hitachi SBC09-2 PCB Hitachi M-511E MC Unit used working 3
AA-3216 Hitachi RS06-2 PCB Hitachi M-511E MC Unit used working 2
AA-3216 Hitachi RS06-2 PCB Hitachi M-511E MC Unit used working 1
AA-3217 Hitachi PM02-1 PCB Hitachi M-511E MC Unit used working 1
AA-3218 Hitachi IL36-I PCB Hitachi M-511E MC Unit used working 4
AA-3218 Hitachi IL36-I PCB Hitachi M-511E MC Unit used working 2
AA-3220 Fuji Seiki 1011037 Butterfly Valve Hitachi M-511E used working 3
AA-3225 Nor-Cal CSVP-1502-CF 1.5� Right Angle Vacuum Poppet Valve 2.75" OD used working 1
AA-3232 Nikon 4S005-206-F PPD-CCD Area Image Sensor PCB NSR Series used working 1
AA-3232 Nikon 4S005-206-F PPD-CCD Area Image Sensor PCB NSR Series used working 1
AA-326 OneAC 009-166 Power Supply FT1115 MRC Eclipse Used Working 2
AA-329 Gasonics 90-2607 PCB Controller Board Rev. F Used Working 1
AA-330 Gasonics 90-2607 Display Decoder Rev. A Used Working 1
AA-330 Gasonics 90-2607 Display Decoder Rev. A Used Working 1
AA-331 Gasonics 90-2650 PCB Controller Board Rev. A Used Working 1
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 1
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 1
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 8
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 2
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 1
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 2
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 1
AA-332 Gasonics 90-2608 PCA Load Lock Interface Rev. B Used Working 2
AA-336 Omron Sysmac C200HX Programmable Controller C200HX-CPU54 Used Working 1
AA-3402 Schlumberger 97847502 Blanking Generator PCB 40847502 IDS 10000 used working 1
AA-3403 Schlumberger 97847513 High Voltage Control PCB IDS 10000 used working 1
AA-3404 Schlumberger 97847530 Vacuum Control PCB IDS 10000 used working 1
AA-3405 Schlumberger 97861135 IFA2 PCB 40861135 IDS 10000 used working 1
AA-3406 Schlumberger 97851134 Image Processor PCB IDS 10000 used working 1
AA-3407 Schlumberger 97847532 Vacuum Interlock PCB 40847532 IDS 10000 used working 1
AA-3408 Schlumberger 97847521 Double Gated Integrator PCB 40851121 IDS 10000 used 1
AA-3409 CPS 5003ANDCB5 HV High Voltage Power Supply 2kV 5mA IDS 10000 used working 1
AA-3411 Whedco 78003981/9501 Intelligent Motor Controller IMC-4230-1-B IDS 10000 used 1
AA-3412 Bertan PMT-50CP-1 High Voltage Power Supply 5KV 500�A IDS 10000 used working 1
AA-3414 Bertan PMT-05CN-1 High Voltage Power Supply 500V 8mADC IDS 10000 used working 1
AA-3415 Lasertec 3P-548 AO Drive-F PCB 2 pcs & AO Drive PS used working 1
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 1
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 1
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 1
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 15
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 6
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 1
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 11
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 15
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 14
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 1
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 26
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 27
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 25
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 33
AA-3421 Delta Design 1909502-501 Control PCB Summit ATC Handler used working 12
AA-3422 Delta Design 1943355-502 High Voltage PCB Lot of 2 Summit ATC Handler used 11
AA-3422 Delta Design 1943355-502 High Voltage PCB Lot of 2 Summit ATC Handler used 24
AA-3422 Delta Design 1943355-502 High Voltage PCB Lot of 2 Summit ATC Handler used 56
AA-3422 Delta Design 1943355-502 High Voltage PCB Lot of 2 Summit ATC Handler used 9
AA-3423 Delta Design 2001-586-000 Bus Interface PCB used working 1
AA-3423 Delta Design 2001-586-000 Bus Interface PCB used working 2
AA-3423 Delta Design 2001-586-000 Bus Interface PCB used working 8
AA-3425 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used 1
AA-3425 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used 5
AA-3425 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used 1
AA-3425 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used 2
AA-3425 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used 1
AA-3425 Delta Design 1900769-501 Vacuum Sensor x8 PCB Lot of 2 Summit ATC Handler used 4
AA-3426 Delta Design 1906880-501 MBX RS232/RS485 Summit ATC Handler used working 17
AA-3427 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used 41
AA-3427 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used 7
AA-3427 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used 2
AA-3427 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used 7
AA-3427 Delta Design 1906876-501 Output Driver PCB Lot of 2 Summit ATC Handler used 10
AA-3428 Delta Design 1941692502 PCB Summit ATC Handler used working 4
AA-3428 Delta Design 1941692502 PCB Summit ATC Handler used working 4
AA-3428 Delta Design 1941692502 PCB Summit ATC Handler used working 3
AA-3428 Delta Design 1941692502 PCB Summit ATC Handler used working 2
AA-3429 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working 1
AA-3429 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working 1
AA-3429 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working 52
AA-3429 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working 24
AA-3429 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working 2
AA-3429 Delta Design 1906872-501 Input Sensor BD PCB Summit ATC Handler used working 25
AA-3430 Delta Design 1941692-503 PCB Summit ATC Handler used working 2
AA-3430 Delta Design 1941692-503 PCB Summit ATC Handler used working 2
AA-3430 Delta Design 1941692-503 PCB Summit ATC Handler used working 6
AA-3430 Delta Design 1941692-503 PCB Summit ATC Handler used working 4
AA-3439 DNS Electronics SL-2121 Terminal SM Unit FC-3000 used working 8
AA-3440 DNS SL-2210-FC-A Network Control Module FC-3000 Lot of 2 used working 6
AA-3448 Hitachi 569-5559 N-EVCN PCB Hitachi S-9380 SEM Lot of 2 used working 2
AA-3449 Hitachi 568-5569 NPSDAMP PCB Hitachi S-9380 SEM used working 1
AA-3450 Hitachi 569-5560 EM DIST PCB Hitachi S-9380 SEM used working 1
AA-3451 Hitachi 549-5500 TMPL-IF PCB Hitachi S-9380 SEM used working 1
AA-3451 Hitachi 549-5500 TMPL-IF PCB Hitachi S-9380 SEM used working 1
AA-3452 Hitachi 569-5566 CNCORD PCB Hitachi S-9380 SEM used working 1
AA-3452 Hitachi 569-5566 CNCORD PCB Hitachi S-9380 SEM used working 1
AA-3457 Hitachi Ion Pump Power Supply Hitachi S-9380 SEM used working 4
AA-3459 AMAT 0100-01439 FOLC Loop Controller PCB Quantum X used working 5
AA-346 KLA Tencor 363251 AIT 1 PCB 4 Channel Motor Control Rev AC Circuit Board Used 1
AA-3462 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 1
AA-3462 Air Products AP10530 9.4� Monochrome LCD Assembly SHARP LM64P83L used working 1
AA-3466 DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM used working 6
AA-3467 SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 used working 1
AA-3467 SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 used working 1
AA-3470 Aichi Tokei Denkil ND20-PATAAC-4 Flow Meter Lot of 6 used working 1
AA-3473 Opal 70512360100 SDT Transformer Assembly AMAT SemVision cX used working 3
AA-3473 Opal 70512360100 SDT Transformer Assembly AMAT SemVision cX used working 2
AA-3474 Nikon 2S017-450 SW-I/F1 Control Panel PCB 2S701-457 KAB11050/3201A-0 used 1
AA-3477 Nikon 4S003-056-1 MCR-DRV PCB 2S003-056-1 KAB11000/3801-0 used working 1
AA-3477 Nikon 4S003-056-1 MCR-DRV PCB 2S003-056-1 KAB11000/3801-0 used working 2
AA-3478 Hitachi RYX-2 PCB Hitachi M-511E used working 1
AA-3478 Hitachi RYX-2 PCB Hitachi M-511E used working 1
AA-3479 Nikon 2S017-394-2 CCD-I/F PCB 4S018-546-A KAB11040/3201-2 used working 1
AA-348 Marvel 2 Matrox PCB 521-0201 Rev C Circuit Board KLA AIT 1 Tencor Used Working 1
AA-3482 DNS WNA Unit Assembly DNS FC-3000 Wet Station used working 9
AA-3483 Jenoptik Infab 812100019 Interface PCB 013501-083-17 Lot of 2 used working 2
AA-3483 Jenoptik Infab 812100019 Interface PCB 013501-083-17 Lot of 2 used working 2
AA-3484 Tokin LF-310KA Noise Filter Lot of 4 used working 1
AA-3485 VAT 15040-PA24-0002 Gate Valve (missing flange face) used untested 1
AA-3487 VAT 15040-PA24-0002 Gate Valve used working 1
AA-3487 VAT 15040-PA24-0002 Gate Valve used working 1
AA-3488 Vicor MX7-5701-EL Power Supply 4kW MegaPac used working 3
AA-3489 Orion ETM832A-DNF-L-G2 Power Supply Temperature Control Pel Thermo used working 6
AA-349 KLA Tencor 261408 PCB 4 Channel Motor Control Rev. B Circuit Board Used Working 1
AA-3490 Orion ETM832A-DNF-L-G3 Power Supply Temperature Control Pel Thermo used working 6
AA-3491 Kokusai Electric FB001-DS Noise Filter DNS FC-3000 used working 2
AA-3492 Opal 70317875300 SMC/M Vacuum Board PCB AMAT SemVision cX used working 1
AA-3492 Opal 70317875300 SMC/M Vacuum Board PCB AMAT SemVision cX used working 1
AA-3492 Opal 70317875300 SMC/M Vacuum Board PCB AMAT SemVision cX used working 1
AA-3493 Opal 70411560000 VCR/SMC Relay Board PCB AMAT SemVision cX used working 1
AA-3493 Opal 70411560000 VCR/SMC Relay Board PCB AMAT SemVision cX used working 1
AA-3493 Opal 70411560000 VCR/SMC Relay Board PCB AMAT SemVision cX used working 2
AA-3494 Opal 50317890000 SMC-Micro Board PCB AMAT SemVision cX used working 1
AA-3494 Opal 50317890000 SMC-Micro Board PCB AMAT SemVision cX used working 2
AA-3494 Opal 50317890000 SMC-Micro Board PCB AMAT SemVision cX used working 2
AA-3495 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working 1
AA-3495 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working 1
AA-3495 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working 1
AA-3495 Opal 70417880100 SMC-RS232 Card PCB AMAT SemVision cX used working 2
AA-3496 Edwards QDP80/QMB1200 Control Box Novellus Concept II Altus used working 2
AA-3499 AMAT Load Lock Internal Transfer Unit Assembly SemVision cX used working 1
AA-3501 Opal 50312480000 CDM Monitoring Unit 30612485100 AMAT SemVision cX used working 1
AA-3502 Nemic-Lambda YM-95-774 Power Supply RWS30A-5 AMAT SemVision cX used working 2
AA-3502 Nemic-Lambda YM-95-774 Power Supply RWS30A-5 AMAT SemVision cX used working 1
AA-3502 Nemic-Lambda YM-95-774 Power Supply RWS30A-5 AMAT SemVision cX used working 2
AA-3509 Lucas Labs CAT Power Distribution & Control Module Lucas Labs CAT new surplus 1
AA-3510 Opal 30612470000 Column Power Supply Unit CPSU AMAT SemVision cX used working 1
AA-3511 A to Z Electronics 50312410000 Scintillator 8KV Splitter AMAT SemVision cX used 1
AA-3512 A to Z Electronics 50312420000 U_COL 8KV Splitter AMAT SemVision cX used working 1
AA-3514 Tasei Denki TD-13659 Blue Power Transformer TE1B-720-EN-NF DNS FC-3000 used 1
AA-3516 KLA-Tencor 0071143-003 Polarizer Assembly KLA AIT UV+ 1
AA-3519 Hitachi BBS208-4 PCB Hitachi M-511E used working 1
AA-352 SMC PCB 60-600455-006 Circuit Board Used Working 1
AA-3521 Nikon 4S018-728 REX-DRV PCB Nikon NSR-Series used working 1
AA-3523 KLA-Tencor 0033116-002 Lens Assembly KLA-Tencor AIT UV+ used working 1
AA-3525 Novellus Heater Blanket Controller Eurotherm 808 Concept II Altus used working 1
AA-3526 Matsushita SI016-16C NAIS Micro Control Unit FP-M used working 1
AA-3528 Powerware 1000P2HVSE Uninterruptible Power Supply Prestige EXT Used Working 1
AA-3529 Novellus 15-032939-00 Ceramic Rings Concept II Altus Lot of 5 used untested 2
AA-3531 KLA-Tencor 525227 Video Switch PCB Module KLA-Tencor AIT UV+ used working 1
AA-3531 KLA-Tencor 525227 Video Switch PCB Module KLA-Tencor AIT UV+ used working 1
AA-3531 KLA-Tencor 525227 Video Switch PCB Module KLA-Tencor AIT UV+ used working 2
AA-3532 Yaskawa LNFB-2152HY EMI Line Filter AC 250V 1PH 15A Lot of 5 used working 1
AA-3533 Hitachi S-9380 SEM Power Distribution Box used working surplus 4
AA-3534 Ulvac GST-03L Ion Pump Controller Schlumberger IDS 10000 used untested as-is 2
AA-3536 TEL 3D81-000096-V1 PCB TYB513-1/IOGS TEL T-3044SS used working 2
AA-3537 Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Cables & Antenna used 12
AA-3538 Nikon Pneumatic Regulator Assembly Nikon NSR-Series used untested as-is 1
AA-3539 Opal 30612150000 HTRC Board PCB AMAT SemVision cX used working 1
AA-3544 Hitachi 569-5550 Power Supply Amplifier S-9380 SEM used 4
AA-3545 VAT 07512-UA24-0002 Slit Valve used working 1
AA-3546 MCSI 68800901 CPU PCB Brooks BL 200 used working 1
AA-3547 AMAT Load Lock Internal Transfer Unit Head AMAT SemVision cX used working 1
AA-3549 CKD AMD11-X1262 Valve TEL ACT 12 Lot of 4 used working 2
AA-3550 Tescom 44-3262JR91-082 Manual Pressure Regulator used working 1
AA-3551 Tescom 44-2662-R92-067 Manual Pressure Regulator used working 7
AA-3553 Tescom 449-265-0RR9 Pressure Regulator w/ Gauge used working 1
AA-3556 Hitachi EMI Noise Filter Chassis S-9380 SEM used working 2
AA-3556 Hitachi EMI Noise Filter Chassis S-9380 SEM used working 2
AA-3558 Internix PF810-ASEHT Hand Controller Teach Pendant Hitachi M-712E used working 3
AA-3559 Anelva 954-7700 Vacuum Pressure Sensor Switch Hitachi M-712E used working 1
AA-3562 Soshin NF3200C-RQ2 EMI Noise Filter TEL T-3044SS used working 1
AA-3567 Force 100019 Single Board Computer VME SYS68K/CPU-6A Alphasem SL9021 used 1
AA-3568 ADCOS GN/BK K100VA3 Encoder PCB VME A-100 Alphasem SL9021 used working 2
AA-3569 ADCOS GN/WH K100VA3 Encoder PCB VME A-100 Alphasem SL9021 used working 1
AA-3570 ADCOS K100 VIT VME PCB VIT-12 Alphasem SL9021 Die Bonder used working 1
AA-3571 Alphasem AS 313-0-06 Distributor Servo System PCB VME used working 1
AA-3572 KLA 710-061475-001 IS 300 Backplane PCB Starlight SL 300 Ursa(IS) used working 1
AA-3573 KLA 700-060463-001 SAF Preamp Assembly Starlight SL 300 Ursa(IS) used working 1
AA-3574 KLA 710-057727-00 Turret Assembly Starlight SL 300 Ursa(IS) used working 1
AA-3576 LEAG 90228 3 Axis Servo Drive TFM-060-06-05-99 Alphasem SL9201 used working 1
AA-3578 Nikon 4S018-675-D-IO-1(3) PCB & Auxiliary PCB CS017-011 Nikon NSR-Series used 1
AA-3578 Nikon 4S018-675-D-IO-1(3) PCB & Auxiliary PCB CS017-011 Nikon NSR-Series used 1
AA-3578 Nikon 4S018-675-D-IO-1(3) PCB & Auxiliary PCB CS017-011 Nikon NSR-Series used 2
AA-3579 Nikon 4S018-675-D-IO-1(4) PCB Nikon NSR Series used working 1
AA-3579 Nikon 4S018-675-D-IO-1(4) PCB Nikon NSR Series used working 1
AA-3579 Nikon 4S018-675-D-IO-1(4) PCB Nikon NSR Series used working 3
AA-3579 Nikon 4S018-675-D-IO-1(4) PCB Nikon NSR Series used working 1
AA-3580 Nikon 36020133 EXT-INTLOCK ASK PCB Nikon NSR Series used working 1
AA-3580 Nikon 36020133 EXT-INTLOCK ASK PCB Nikon NSR Series used working 3
AA-3580 Nikon 36020133 EXT-INTLOCK ASK PCB Nikon NSR Series used working 1
AA-3581 Nikon CS013-025 PCB CHBR-IF Nikon NSR Series used working 2
AA-3581 Nikon CS013-025 PCB CHBR-IF Nikon NSR Series used working 2
AA-3581 Nikon CS013-025 PCB CHBR-IF Nikon NSR Series used working 1
AA-3582 Nikon 4S019-073-1 PCB CHBCPU-1 Nikon NSR-S307E Series used working 1
AA-3582 Nikon 4S019-073-1 PCB CHBCPU-1 Nikon NSR-S307E Series used working 2
AA-3582 Nikon 4S019-073-1 PCB CHBCPU-1 Nikon NSR-S307E Series used working 2
AA-3582 Nikon 4S019-073-1 PCB CHBCPU-1 Nikon NSR-S307E Series used working 2
AA-3583 Nikon 36020131 IOP-I/F ASK PCB Nikon NSR Series used working 1
AA-3583 Nikon 36020131 IOP-I/F ASK PCB Nikon NSR Series used working 2
AA-3583 Nikon 36020131 IOP-I/F ASK PCB Nikon NSR Series used working 2
AA-3583 Nikon 36020131 IOP-I/F ASK PCB Nikon NSR Series used working 1
AA-3586 OKAYA 3SUP-H10H-ER-4 Noise Filter Nikon NSR Series used working 2
AA-359 Nikon 4S014-082-AM6PDCTL PCB Circuit Board Used Working 1
AA-3591 Hitachi Wafer Transfer Robot Hitachi M-712E EFEM used working 1
AA-3593 Delta Design Power Summing Module Lot of 13 used working 1
AA-3594 NEC LCD175VX+ 17� Monitor w/ FastPoint 902-317-01-01 Touchscreen used working 1
AA-3595 Watlow Anafaze TLME010DDDDDDDD Thermal Limit Monitor Temp Switch Lot of 14 used 1
AA-360 Nikon 4S014-014 PCB Circuit Board DCM86-L2 Used Working 2
AA-3600 Power One HBAA-40W-A Power Supply Summit ATC Handler Lot of 12 used working 6
AA-3601 National Instruments PXI-8175 Embedded Controller PCB Summit ATC Handler used 13
AA-3602 National Instruments PXI-6527 Digital I/O PCB Summit ATC Handler used working 12
AA-3602 National Instruments PXI-6527 Digital I/O PCB Summit ATC Handler used working 1
AA-3603 National Instruments PXI-6713 Analog Output PCB Summit ATC Handler used working 16
AA-3603 National Instruments PXI-6713 Analog Output PCB Summit ATC Handler used working 1
AA-3604 National Instruments PXI-6031E Multifunction I/O PCB Summit ATC Handler used 1
AA-3605 National Instruments 745749-01 PXI-1002 4-Slot Compact PCI Chassis used working 1
AA-3606 V-Tex 172B336X48DCPR03 Door Slit Valve Rollcam Hitachi M-712E Etcher used 1
AA-3607 HoribaSTEC SEC-7330M Mass Flow Controller CHF3 200CCM Lot of 2 used working 1
AA-3608 HoribaSTEC SEC-7330M Mass Flow Controller Ar 500CCM Lot of 2 used working 1
AA-3609 HoribaSTEC SEC-7330M Mass Flow Controller SF6 200CCM Lot of 2 used working 1
AA-3610 Horiba STEC SEC-7330M Mass Flow Controller CF4 400CCM Lot of 2 used working 1
AA-3611 HoribaSTEC SEC-7330M Mass Flow Controller O2 30CCM Lot of 2 used working 1
AA-3614 Patlite STPB-T Assorted Signal Towers LME-TFBL & LE-FBP Lot of 13 used working 1
AA-3615 Hitachi AIO-02N Analog I/O PCB Hitachi M-712E used working 2
AA-3616 Hitachi IOTU-01N I/O PCB IOCN-01A Hitachi M-712E used working 1
AA-3617 Hitachi ILP-02 Relay PCB Hitachi M-712E used working 1
AA-3618 Hitachi DIO-01N Digital I/O PCB Hitachi M-712E used working 1
AA-3619 Hitachi BD12 BD13 BD14 I/F PCB Set Hitachi M-712E used working 1
AA-3620 Hitachi BBPS-11 Interface PCB Hitachi M-712E used working 1
AA-3621 SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Wafer Chuck Hitachi M-712E used 2
AA-3622 Simco 4009180 Vision Controller Ionizer w/ Richmond 30� & 12� Emitters used 6
AA-3622 Simco 4009180 Vision Controller Ionizer w/ Richmond 30� & 12� Emitters used 1
AA-3622 Simco 4009180 Vision Controller Ionizer w/ Richmond 30� & 12� Emitters used 4
AA-3623 Asahi Kogyosha 36020223A EXT-INTLOCK PCB Nikon NSR Series used working 1
AA-3624 Asahi Kogyosha 36020230 I/F PCB Nikon NSR Series used working 1
AA-3629 Asahi Kogyosha 36020167 Chamber-I/F PCB Nikon NSR Series used working 1
AA-3629 Asahi Kogyosha 36020167 Chamber-I/F PCB Nikon NSR Series used working 1
AA-363 Nikon 4S017-702 PCB Circuit Board KENCTL Used Working 1
AA-3630 Hitachi BBBA-02 Interface PCB Hitachi M-712E used working 1
AA-3631 Hitachi BBB14-01 Interface PCB Hitachi M-712E used working 1
AA-3632 Devicenet 10150603 16�6� Cables Lot of 25 used working 1
AA-3633 Devicenet 10150603 20� Cables Lot of 13 used working 1
AA-3634 Devicenet 10150721 10� Cables Lot of 13 used working 1
AA-3637 Nikon 4S018-928 PCB COM-CTRL Nikon NSR-S205C used working 1
AA-3638 Turck JBBS 57-E811 Bus Stop Devicenet Lot of 15 used working 1
AA-3639 Nikon 4S018-775-1 PCB X_I/F Nikon NSR-205C used working 1
AA-3640 Nikon 4S018-776 Y_I/F PCB Nikon NSR-205C used working 1
AA-3641 Nikon 4S019-029 PRE1_I/F PCB Nikon NSR-205C used working 1
AA-3641 Nikon 4S019-029 PRE1_I/F PCB Nikon NSR-205C used working 1
AA-3643 Nikon 4S003-028 PCB DCMD-L2 Nikon NSR-205C used working 4
AA-3643 Nikon 4S003-028 PCB DCMD-L2 Nikon NSR-205C used working 1
AA-3647 Simco 4010729 Vision i Controller Summit ATC Handler used working 2
AA-3647 Simco 4010729 Vision i Controller Summit ATC Handler used working 9
AA-3647 Simco 4010729 Vision i Controller Summit ATC Handler used working 2
AA-365 Nikon 4S017-714 PCB AIR-I/F Circuit Board Used Working 1
AA-3653 Devicenet 10150603 13�2� Cables Lot of 9 used working 1
AA-3655 Teknic SST-1500-103 Servo Stepper Drive Lot of 5 Summit ATC Handler used working 2
AA-3656 Teknic SST-3000-829 Digital Servo Drive Delta Design 2100840 Lot of 4 used 2
AA-3656 Teknic SST-3000-829 Digital Servo Drive Delta Design 2100840 Lot of 4 used 3
AA-3660 Sumitomo Electric 52131010C Drive Board PCB 1494V-0 Nikon NSR-205C used working 1
AA-3660 Sumitomo Electric 52131010C Drive Board PCB 1494V-0 Nikon NSR-205C used working 1
AA-3661 Sumitomo Electric 52131001A D/A Board PCB 794V-0 Nikon NSR-205C used working 1
AA-3663 NSK EE0408C59-25 Motion Controller used working 1
AA-3664 Motorola 01-W3866B54B Embedded Controller MVME 162-262 & Add-On PCB�s used 1
AA-3666 DNS MY5211-214 PCB PG-104L-05 DNS FC-3000 used working 4
AA-3666 DNS MY5211-214 PCB PG-104L-05 DNS FC-3000 used working 1
AA-3669 Nikon 4S013-358 Circuit Board RLIOP-I/F Nikon NSR-S205C used working 1
AA-3669 Nikon 4S013-358 Circuit Board RLIOP-I/F Nikon NSR-S205C used working 1
AA-3669 Nikon 4S013-358 Circuit Board RLIOP-I/F Nikon NSR-S205C used working 1
AA-3681 Nikon 4K802-997 Robot Elevator 4S013-464 PCB RBTLNK3 NSR-S307E used working 1
AA-3681 Nikon 4K802-997 Robot Elevator 4S013-464 PCB RBTLNK3 NSR-S307E used working 4
AA-3682 Nikon NSR-S307E Wafer Loader Port Wafer Holder Keyence Copal used working 6
AA-3685 TDK RKW48-32R Power Supply 48VDC 32A Nikon NSR-S307E used working 5
AA-3686 TDK RKE48-32R A Power Supply 48VDC 19.2A 32A Nikon NSR-S307E used working 41
AA-3687 TDK RKW24-27R Power Supply 24VDC 27A Nikon NSR-S307E Used Working 6
AA-3695 MKS Instruments Stainless Steel Flex Bellows Pipe Tubing 4.5” Lot of 3 HPS Used 1
AA-3701 Nikon 4B028-878 Pneumatic Control Box SP1178W NSR-S204B Main Body used working 2
AA-3701 Nikon 4B028-878 Pneumatic Control Box SP1178W NSR-S204B Main Body used working 2
AA-3705 Yaskawa 4S586-957-5 Linear Motor Controller CLSR-4590-N2CC Nikon NSR-S205C used 1
AA-3707 Yaskawa 4S587-294 Amplifier XU-DV0802H Nikon NSR-S205C used working 1
AA-3708 Yaskawa 4S587-288 Linear Motor Controller XU-DL1910 Nikon NSR-S205C used 2
AA-3711 Nikuni 25CLX15U5 MLTC Centrifugal Pump w/ 25CLX15U6 Motor Nikon NSR-S205C used 8
AA-3712 Nikuni 25CLX15U5 MLTC Centrifugal Pump w/ 25CLX15U5 Motor Nikon NSR-S205C used 7
AA-3714 Hitachi BBS511-1 Circuit Board AMAT SemVision cX used working 1
AA-3714 Hitachi BBS511-1 Circuit Board AMAT SemVision cX used working 2
AA-3715 Hitachi HT94222A Circuit Board used working 1
AA-3715 Hitachi HT94222A Circuit Board used working 3
AA-3715 Hitachi HT94222A Circuit Board used working 1
AA-3716 Hitachi BBS210-2 Circuit Board used working 1
AA-3716 Hitachi BBS210-2 Circuit Board used working 1
AA-3716 Hitachi BBS210-2 Circuit Board used working 4
AA-3717 Hitachi BBS205-3 PCB Circuit Board TEL T-3044SS used working 1
AA-3717 Hitachi BBS205-3 PCB Circuit Board TEL T-3044SS used working 1
AA-3718 AMAT 0110-01717 Issue B Circuit Board used working 1
AA-3718 AMAT 0110-01717 Issue B Circuit Board used working 1
AA-3718 AMAT 0110-01717 Issue B Circuit Board used working 4
AA-3718 AMAT 0110-01717 Issue B Circuit Board used working 2
AA-3718 AMAT 0110-01717 Issue B Circuit Board used working 2
AA-3718 AMAT 0110-01717 Issue B Circuit Board used working 3
上一页: 库存清单19  下一页: 库存清单17